TW201012306A - Temperature controlled hot edge ring assembly - Google Patents

Temperature controlled hot edge ring assembly Download PDF

Info

Publication number
TW201012306A
TW201012306A TW098127373A TW98127373A TW201012306A TW 201012306 A TW201012306 A TW 201012306A TW 098127373 A TW098127373 A TW 098127373A TW 98127373 A TW98127373 A TW 98127373A TW 201012306 A TW201012306 A TW 201012306A
Authority
TW
Taiwan
Prior art keywords
ring
hot edge
temperature
edge ring
substrate
Prior art date
Application number
TW098127373A
Other languages
English (en)
Other versions
TWI513374B (zh
Inventor
Rajinder Dhindsa
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201012306A publication Critical patent/TW201012306A/zh
Application granted granted Critical
Publication of TWI513374B publication Critical patent/TWI513374B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Description

201012306 六、發明說明: 【發明所屬之技術領域】 本發明係關於電漿處理設備 【先前技術】 電漿處理設備藉由包含蝕刻、物理氣相 、 沉積(CVD)、離子植人、及光随糾 )化干她 水處理中所用的-種電漿處理設備 態,而在該反應職巾謂處理氣體激發成電漿狀 【發明内容】 ,環二:介==== 面的基板支座,該環狀支撐表面 句衣狀支撐表 搞合環機械地夾持於該賴φ ^繞者基板支座表面。將射頻(RF) 支擇表面無齡㈣介於該環狀 依據另以:與該聰環之間。 繞著電衆反應腔室中所支^2=之熱邊緣環組件(其適於圍 面的基板支座,频支撐表 輕合環機觀-贿微切^ 201012306 ^樓表面與該RF耗合環之間。將熱邊緣環機械地失持於該卵 f :裱,且上熱導介質係介於該熱邊緣環與該即耦合環之間。該 ^支座適δ圍繞著基板,俾使該基板的外緣懸於該熱邊緣環之 【實施方式】 〇 ❹ 積體電路裝置的製造包含電漿侧腔室的使用,其能夠 虚if罩中之開σ所定義的侧選擇層。該處理腔室用以接收 5 匕學品)二同時對該處理室之一或多個電極施二 率也針對特疋處理而控制該處理室内侧的壓力。一經 加所需的RP功率,便激發該腔室中的處理氣體俾產 。然而,_圓之電漿處理的^圓 ^。句勻(即在-疋的時間期間,處理效能的變化)引起的製程偏 β^ 了控制半導體基板(如晶圓)上的侧速率均勻度 Ξ配:處的姓刻速率與該晶圓邊緣處的钱刻速率 露、界條件最好係設計成在關於晶圓邊緣的化學品曝 如已二1二及即場強度方面能確保橫跨整個晶圓的連續性。 =施加即偏壓。然而,因為自該供電電極經 雷二t至電裝的处阻抗路徑,會異於自該供電電極之外部至 =均m故發纖败細不物激密i會 圓減輕此等不均勾,熱邊緣環及w #合環已建置成嘵著曰 =====如謝姆_ ^a 零件,其職物給縣受額熱雜_耗性 可4 „亥邊緣環的材料以在晶圓的中央及邊緣處提供更均勻 201012306 的RF阻抗路徑’俾在整片晶圓上提供更均句的電聚密度。然 了經曝露於如RF電聚的熱源’該邊緣環便不能充分地冷卻,這合 導致本身溫度的持續上升。當接連著處理多個晶圓時,此溫θ 升會導致晶圓邊緣處之侧速率的製程偏移(即處理不均 ΐ處ί法控制該熱邊緣環及处麵合環的溫度會成為問电 通,導致β曰圓邊緣末端處(即直徑3〇〇 mm之石夕晶圓的外圍5至 mm)的蝕刻速率增加、聚合物沉積或「首片晶圓效應」。 首片晶敝麟綱接紗首聽理βΘ圓 = 特別是,—經首片晶圓處理的完成,已 該腔室中後續已處理的晶圓間接提供二次加熱機制。因此,由^ ’晶圓溫度變化會影響關鍵尺 =CD) ’故她祕處理的後續晶圓,該系統處理的首片晶圓可 j現大於所需CD的變化。因為腔室巾溫度的穩定,相較該首 片處理的晶圓,後續處理的晶圓可具有不同及/或較小的c 於在多個晶圓處理期間’邊緣環的溫度持續增加合 ¥致製程偏移,故在處理下_片晶圓之前,希望有—執邊 改善邊緣環的冷卻或邊緣環的溫度控制,從而降低姓 淋頭半板(如碎晶圓)之電漿處理設備之喷 頭電極对1〇的㈣貫婦]。喷淋職極組件w 電極,該喷淋頭電極包括上電極12、固定於上電極承 =其ίΐΐ制板16。包含下電極及靜電夾持電極(例如靜電夾頭) 顯示其—部分)係位 二處理至中的上電極12之下。將經歷電 地夾持在基板支㈣之基板支絲面22上;^職板2〇靜电 ;:?:ί ί nr ^24 處理曰日_红,如假設辭板由雜成,極齡%則大至 201012306 12英忖(300mm)或更大。在較佳實施例中,喷淋頭電極 大至足以處理大基板,如具有3〇〇mm或更大直徑的半導 ,於300 mm晶圓,上電極12在直徑上至少為3⑻_。然日二, ^喷淋頭電極組件可按尺寸製作,以處理其它尺^ 有非圓形組態的基板。 在此說明實施例中,内電極構件24係較基板2〇寬 =晶圓,設置外電極構件26而將上電極12的直徑作沿伸,' 曰^至^ 17英对。外電極構件26可為連續構件(例如連續的多 ❹ =石夕,或为段的構件(例如,包含2_6個以環狀組態配置=離 二如=組成的片段)。在包含多段外電極構件%之上電極η ,該等片段最好具有相互重疊的邊緣’以防止底下社 合材料曝露於電漿中。 内,極,件24最好包含多個延伸穿過其中,且與支 目通贼贿道28,用於將處理氣體 主j電桎12及基板支座18之間的空咖。支承構件丨 tii^T")32 ’而將處理氣體個別分布至内電極構件24及 支承構件14中的氣體通道28及3〇。 久 佳材構件24及外電極構件26之電聚曝露面的較 早晶的石夕於電裂處理期間可使基板的污染最小 可用!理期間平穩地磨損,從而使微粒最少化。例如, Π漿曝露表面的替代材料包含sic或細。 支承構件14包含支承板34及延伸環繞支 承板34的支承裱36。在此實施例中,内電極構%盥 與周_支承環%共同延伸。然而, ii ί 電極構件24,俾使單一支承板可用以支撐 f 電極齡26。内電極構件24及外細冓件26 最好,15材料及/或機械緊固器而附著於支承構件14。 基板用的室中之處理半導體 製。可用以,:有導電性及導熱性的材料所 衣叮用以k支承構件14的示範合適材料、 201012306 墨及SiC。 上電極12可用合適的導熱及導電彈性體結合材料而附著於支 承板34及支承環36 ’該體結合·可親誠力,且在上電 極12與支承板34及支承環36之間傳輸熱及電能。例如,在丘同 擁有之美國專利第6,073,577射,描述使電極組件之表面J -起之彈性體的使用,其整體内容合併於纽供參考。、D 口 在,理大型基板(如300職曰曰日圓)的電容式叙合处電聚腔 至中,除了接地電極之外,也可使用第二接地部。例如, 可包含被絲-或彡種之頻率之即能⑽下電極二可經 3淋接地的上電極)供應處理氣體至該腔室的 j拉接地β (其位於基板支座18中的下電極外侧)可包含 =接地部分,其大致在包含待處理之基板2G但由熱邊^環% ΐΐ延伸。熱邊緣環38可為於電漿產生期__
了批為圖1A中環繞熱邊緣環38之區域A的放大視圖。為 1=^2°上_刻鱗均勻度,及使基板中央處的 ϋί$ίί處Ϊ,速率匹配,基板邊界條件最好設計成H 續性。為了使基板_小化熱邊 =3?^ 石^、2相#的材料所製。在一例中,熱邊緣環材料可包含石夕、 Ί⑪或她物。在另—例中’熱邊緣環材料可包含石苯 38之上。可#用力萁此士产七如 土低〜外遭緣懸於熱邊緣環 或¥电材料(例如銘、石夕、碳化石夕)所製。:氮化銘) «蓋部44環繞著熱邊緣環38。熱邊緣環 201012306 =成聚焦環46將電漿限制在基板2G上方的區域,且可由石英所 A板覆蓋雜環46,將電漿限制在 基扳20上方的區域,且熱邊緣環遮蓋部料可 地環遮蓋部48更環繞著熱邊緣環遮蓋部44。埶環遮 ❹ ,基板20的電襞處理期間,熱邊緣環38、R^合環4〇狀 板支座18曝露於真空環境(即小於兄尬㈣。因此,真空係开^ 在熱邊緣環38與RF耗合環40之咖界面B處;及处柄人;^ 40 ^基板支座18之間的界紅處。當熱邊緣環38的溫度^曝露 ,因為在合適的界面姑在真空,故自熱邊 ^ 38至合壤4〇及基板支座18經熱傳導的熱傳係最小化。 =,在基板2G的電漿處理編,f要能夠控制熱邊緣環如之 /JIDL/X. ° 圖2A說明受溫度控制之熱邊緣環組件2〇〇的一實施例。基板 if基板支座218的侧壯且環繞基板支座表面 222@的%狀支撐表面242。rp耦合環24〇覆蓋環狀支撐表面242, 在裱狀支撐表面242及RP耦合環240之間具有下熱導介質25〇。 熱邊緣ί哀238覆蓋RF耦合環240,在熱邊緣環238及处耦合環 240之間具有上熱導介質26〇。基板支座218適於支撐基板, 俾使基板220的外邊緣懸於熱邊緣環238之上。 在一實施例中,下熱導介質250包含下墊片252,而上熱導介 質260包含上墊片262。下墊片252及上墊片262為熱導性^電導 性墊片。在較佳實施例中,下墊片252及上墊片262係由金屬或 聚合物材料之層板所組成:矽酮為基的薄片(例如由曰本東亨 GEl^TECH提供的XGEL®COH_4000)、鋁(或鋁合金)及填充矽酮 橡膝的層板(例如由明尼蘇達州Chanhassen之The Bergquist Company所製造的q_pad® II,)、或聚亞醯胺材料及填充矽酮橡 201012306 膠的層板(例如由明尼蘇達州Chanhassen之The Bergquist Company 所製造的81!^^@尺-10)、或聚亞醯胺材料(例如由011?0:^@所 製造的KAPTON®聚亞醯胺膜)。 下塾片252及上塾片262的其它示範材料可包含:例如熱填 充材料(如填充氮化硼的矽酮)(例如由CHOMERICS所製造的 CHO-THERM® 1671)、石墨材料(例如由GRAFTECH所製造的 eGraf®7〇5)、銦箔、或相變化材料(pcm)(例如由THERMAGON 所製造的T-pcmHP105)。 圖2B說明受溫度控制之熱邊緣環組件2〇〇之實施例,其中用 巧械將熱邊緣環238夾持於RF耦合環240 ;而用機械將RF耦合 % 240夹持於環狀支撐表面242。可藉由下栓27〇(例如2至12個 1圓周間隔的栓)將RF耦合環240閂於環狀支撐表面242。以夾持 環272將熱邊緣環238機械地爽持於Rp柄合環240,炎持環272 /包含徑向延伸的凸緣272A。熱邊緣環238包含周圍凹部238A。 k向延伸的凸緣272A係用以配合周圍凹部,而將埶邊緣f 238 環240。用上检274(例如2至12個^圓周=的 合環。為了防止在夹持過程中損傷夾 置=22邊軌238,可在夾持環272及熱邊緣環238之間放 =面聚麵胺環276⑽如KAPTON®聚魏賴)。可以自約i ㈣.的扭矩將熱邊緣環238夾持於处耦合環24〇。 中竹施例,其 上熱導介質360包含同心配置的内上0型環363八及外上0 201012306 nf :熱邊緣環338、㈣合環340、内上〇型環363A及 藉W 定義上部體積366。上部體積366適於容納一體 該氣體包含氦、氖、氬或氮。*-實施例中, _ 中的氮靜壓可達約30 T〇rr。在較佳實施例中,〇型 熱之含氣彈性體(例如由DUP〇NT®所製造的VITON^ 既彈性體)所組成。
❹ 认^圖人犯/斤示,内上〇型環363A及外上0型環363B可安置 二士叙曰壤340及熱邊緣環338中所形成的溝槽365。在另一實 =,3C所示,内上〇型環363A、外上〇型環遞、 ΐ槽 ^衣狀通道364係同心配置,俾使内上〇型環363A及 環繞著環狀通道364。環狀通道364使熱邊緣環 U乳體曝露面338八與RP _合環34〇的熱傳氣體曝露面 彳的接觸最小化,以藉由調整上部體積366中的熱傳 =體^力(例如達30 Torr)*提供更精準的熱導控制。在一實施例 ,環狀通道364的高度可自約1 mii至約5 mil。 儘管圖3A實施例說明作為下墊片说的下導熱介質35〇 ;及 作為上部體積366 (由熱邊緣環338、RF麵合環、内上〇型 外上◦型環363B定義之)的上導熱介質鳩,應了解 班冷…;丨貝350亦可作為加壓熱傳氣體的下部體積(即由内下〇型 環、外下〇型環、環狀支撐表面342及处#合環定義。 同樣地,上導熱介質360可為上墊片。 吉,^也3兒明控制器380、溫度感測器382、熱傳氣體源384 及”工幫浦386。溫度感測器382適於量測熱邊緣環338於電漿處 =間的溫度,並供應輸入信號予控制器獨。熱傳氣體源384 ^空幫浦386與上部體積366係流體相通。可響應控制器38〇 =操作氣體源384以增加上部體積366中的靜壓。同樣地,可塑 應控制器380而操作真空幫浦以排空體積366。 曰 在基板320在電漿處理腔室(其帶有受溫度控制之熱邊緣環 、、且件300)中的電漿處理期間,基板支座表面322上支撐著基板 32〇。將處理氣體導入該處理腔室内,並給予處理氣體能量,使其 11 201012306 成為電水狀悲。量測熱邊緣環顶的溫度。如果熱邊緣環% 溫度低於目標溫度,則降低上部體積366中的熱傳 上 部體積366中熱傳氣體壓力的減低限制熱自熱邊緣環%^ ^ 耦合環340 (即熱阻塞),其容許熱邊緣環挪#溫度因曝露於g 電聚而增加。如果紐緣環的溫度高於目標溫度,則增加上邻 ^36=中的熱傳氣體壓力。上部體積366中熱傳氣體壓力的% S至㈣合環340,再傳至受溫度控制之 ^支座318。於基板320之電聚處理期間,可持續監視埶邊緣 的,’且可因此調整上部體積366中的熱傳氣體壓力,以將 ‘、、、邊緣環338維持在預期的目標溫度。基板32〇的電浆處理、 體材料、金屬或介電材料的賴侧,或導電或介電材料 圖4Α況明主動溫控之熱邊緣環組件4〇〇的額外實施例,立 s,肷入RJF耦合環440中的加熱元件49〇。基板支座418包 =土板支座418的外圓周上且繞著基板支座表面422的環狀支撐 面442。RF耦合環440覆蓋環狀支撐表面442,在環狀支 與二麵合環44〇之間具有下熱導介質45〇。熱邊緣環梢 RF耦合環440 ’在熱邊緣環438與处耦合 作為上熱導介質460的上墊片462。 「I、令
Q 下熱導介質450包含同心配置的内下〇型環463c;及外下〇
i裒463D。環狀支撐表面442、RF麵合環440、内下〇型環463C =卜10型環〇型環463D定義下部體積。下部體積適 :匕3 —體積的加壓熱傳氣體,該氣體包含氦、氖、氬或氮。 如圖4B所說明的,内下〇型環463C及外下〇型環463D可 =女置在RF耦合環440中所形成的溝槽465。在另一實施例中, 二f 4(^所說明的’内下0型環463C、外下0型環463D、溝槽 刑m及環狀通道464係同心配置,俾使内下0型環463C及外下Ο 沾環繞著環狀通道464。環狀通道464使環狀支撐表面442 夕:傳氣體曝露面442A與RF耦合環440的熱傳氣體曝露面44〇A 曰 1的表面接觸最小化,以藉由調整上部體積468中的熱傳氣體 12 201012306 而提供更精準的鮮控制。在一實施例中, %狀通道464的向度可自約1 mil至約5 mil。 吉处說明控制器_、溫度感測器482、熱傳氣體源484、 源供應11 488°溫度感測器482適於量測熱邊緣 ^触、广表处理期間的溫度,並供應輸入信號予控制11 480。熱 ,乱體源484及真空幫浦槪與下部體積468係流目通。可塑、 應控制器480而操作氣體源484以增加下部體積中的靜壓: ^樣地’ I響應控制器而操作真空幫浦486以排空體積468。 Ο ❹ 應器488係與加熱元件49〇連接,並響應控制器而供 應電力予加熱元件490。 舰、420在電聚處理室(其帶有主動溫控的熱邊緣環組件 l i t的電裝處理期間,基板支座表面似2上支樓著基板420。 軋料人該處理室中’並給予處理氣體能量,使其成為電 漿狀態。量測熱邊緣環438的溫度。 々口,熱邊緣環438的溫度低於目標溫度,則藉由自電源供應 益供應電力予加熱元件490而加熱RF搞合環440。在一實施 例中,該目標溫度約自40V至約200Τ。RF耦合環440與熱邊 緣裱438間的上墊片462幫助熱自RF耦合環44〇傳至熱邊緣環 438。當電源供應器488供電至加熱元件490時,便減低下部體積 =8中的熱傳氣體壓力。下部體積中熱傳氣體壓力的減低限制 熱自加熱元件490傳至受溫度控制的基板支座418(即熱阻塞)。 抑如果熱邊緣環438的溫度高於目標溫度,則終止來自電源供 應器488的電力(如果加熱元件490在運作的話),且增加下部體 積468中之熱傳氣體的壓力。下部體積468中熱傳氣體壓力的增 加幫助熱自熱邊緣壤438傳至RF麵合環440,再傳送至温度#制 的基板支座418。 X二 、於基板420之的電漿處理期間,可持續地監視熱邊緣環438 的溫度,且可因此調整下部體積468中的熱傳氣體壓力及供應至 加熱元件490的電力,而使熱邊緣環438維持在預期目桿溫g。 範例1 μ 13 201012306 執行-系列的測試以縱於電漿處理期間,圖2 3導熱介質250及上導熱介f 在熱邊緣環现散^上的有| 在fXELAN⑧FLEX,虫刻系統(由位於加州佛利蒙 發股份有限公司所製造)中執行_。針對每m mm矽晶®經歷約1分鐘㈣雜理。將25紅 g C4F8/500 SCCMAr賴體混合物導人朗職,2 SCCM mTorr賴力。對下雜供應雙_電力,約2麻醉 及約27MHz頻率的1000W (總電力為2蹲)。於電= 〇 以光纖溫度探針量測熱邊緣環238的溫度。 出曰^ __238 及 _ 合環謂 起。下熱導η貝250及上熱導介質的材料 COH-4000墊片、Q_PAD®n墊片與⑧墊片。® 圖5說明熱邊緣環的溫度曲線圖係總電力2W 理循環時_函數。自圖5可看出有八種熱導介質受測^:處 有2 m.-lb扭矩的Q_PAD®下墊片;kapT〇n⑧上墊片 in.-lb扭矩的Q-PAD®T塾片;ΚΑρτ〇Ν⑧上塾 扭矩的Q-PAD⑧下塾片;ΚΛΡΤ〇卿上塾 2 ❹ 矩的Q-PAD⑧下及上墊片;⑹帶有4 in制丑矩的丑 上墊片,(F)帶有6m.-lb扭矩的q_pad®下及上墊片; 上塾片;無下熱導介質;與(Η)無下及上熱導介^ ’(GUGEL 針對圖5中溫度曲線圖(A>(H)中的每—者,每 ΐΐϋίΐ;"次㈣處理循環的開始。如溫度曲線_)(1= $ )所說明的,每—局部最小的溫度值(圖5中箭 指)隨著每一重複的電漿處理循環而逐步升高。然而,對於= 曲線圖(A)_(G),每-局部溫度最小值不是崎慢的速率上升 維持怪定。圖5說明下熱導介f 25G及上鮮介 ^ 緣環238的散熱及降低首片晶圓效應更有效。較高μ 3kW與4.5kW) _試_她_勢。 午、如 範例2 14 201012306 立Μ執行U的測試以判定於電漿處理綱,圖3Β外你丨夕 錢(其作為上熱導介質娜)在ώίίί mm矽日日圓經歷約1分鐘的電製處理。第五片3〇〇咖 ί 25 SCCM 〇2/35 SCCM C4F8/50° "CCM^ !!:?:&物 J腔室’並維持在45mTorr的壓力。對下雷 極=雙頻的電力,其中總w功率係自約勝至約4力㈣對下電 Ο 至約Mb不等。於電漿處理期間,以光纖 4 “ 至約10 下熱導介質機觀夹持一起。 明熱邊緣環338的溫度曲線圖係下述條件之總功率的 函數.(A)約〇 Torr的氦靜壓;及⑻約3〇加的氦靜壓 5片3〇〇聰晶圓之後,量測熱邊緣環338的溫i。 4·:之二:時二T;巧氦可使熱邊緣環338的溫度在 磁=6Β 5兒明熱邊緣環338隨靜氧壓自0 Torr至30 τ〇π·以每5 Torr 丄ΐίΓΪΐί,°最初’於4.5kWRF功率的施加期間, 的。1 ^366中的氦靜壓約為〇Torr。在熱邊緣環338的溫度超過 降ί 分鐘内升至5 Μ,導致該熱邊緣環的溫度 田該靜壓約一分鐘内升至10 Torr時,該溫度降至約 片:1虽5亥靜壓約一分鐘内升至15 Torr時,該溫度降至約79°C。 二該靜壓約一分鐘内升至20T〇rr時,該溫度降至約73<t。當該靜 一分鐘内升至25 Ton*時,該溫度降至約72。(:。當該靜壓約一 分鐘内升至3〇T〇rr時,該溫度降至約70°C。 圖6B說明可在一分鐘時間尺度中調整熱邊緣環338的溫度。 而^,可在較低的靜壓(如OTorr、5Torr或lOTorr)中得到較大 的級降。另外’圖犯實施例藉由在約〇 Torr至約30 Torr變化著 15 201012306 氦靜壓,而提供在4.5kW的總RF功率下調整該熱邊緣 20°C至25°C的能力。 &皿及$ 範例3 執行一系列的測試以判定於電漿處理期間,圖3C實施例之環 狀通道364中的加壓氦(其作為上熱導介質36〇)在熱邊緣環 政熱上的有效性。此糸列之測試的實驗條件與上述範例^相同。 環狀通道364的高度約為2 mi卜 圖7A說明熱邊緣環338的溫度曲線圖係下述條件之總功率的 函數:(A)約0 Torr的氦靜壓;及(B)約30 Τοιτ的氦靜壓^在長約 6分的處理第5片300 mm晶圓之後,量測熱邊緣環338的溫度。 圖7A也包括來自圖6A實施例的溫度曲線圖。如圖7A所說明二, 環狀通道364有效於降低熱邊緣環338的散熱,因而較圖\ B 施例能升高熱邊緣環338的溫度。 ❹ 如圖7A與7B所說明的,圖3C實施例藉由在約〇 T〇rr至約3〇 Torr變化著氦靜壓,而提供在4 5kw的總即功率下調整 緣環脈度達25 C至3CTC的能力。另外,相較於圖3B實施例,於 約。4.5 kW的總RF功率時熱邊緣環338的溫度增加了約2〇它至約 50 C。對。於特定蝕刻的應用,假如熱邊緣環338的溫度低於約川它 至約90°C,不好的聚合物沈積物則可能形成在熱邊 範例4 ,行測試以說明於電漿處理期間,内上Ο型環363A及外上〇 型裱363B在熱邊緣環338散熱上的有效性。將25 sccm 〇2/35 =!^4Ρδ/5ί)(38α:ΜΑΓ晚體混合物導人侧腔室,並維持在 3 kW的總RF功率且45 mT〇rr的壓力。於綱咖石夕晶圓的 獨量測熱邊緣環338的溫度。體積施中的氨靜壓維持在 ㈣型環363A及外0型環363B係由獅含氟彈 上圖說月°亥熱邊緣ί辰的溫度曲線圖係總Rp功率3 kW"之雷將 ° " ® 8 ·· (A)^K0 月i下,内上0型環及外上0型環;與(B)約〇 Torr靜壓下, 16 / 201012306 無〇型環。如圖8所見,VIT0_含敗彈性體的效用係將約 鐘之,RF功率3 kW的電漿處理後的熱邊緣環溫度降低約坑。 範例5 執行一系列的蝕刻測試以判定圖2A實施例之下熱 及上熱導介質260纟整個300 mm石夕晶圓之直徑上達到均 的有效性。 干 π H^f FLEXTM钱刻系統(由位於加州佛利蒙的蘭姆研 ΐϊί有限么司所製造)帽行測試。針對每一測試,3〇〇mm石夕 日日圓後盍一層有機光阻。將25 SCCM 〇2/35 SCCM C4F8/5〇〇 sc ❹
Ar的氣舰合物導人蝴财,並_在45 mlbrr的壓力 ,極供應雙麵電力,其中總即功率係自約娜至約舊不 t ^自^ 2 m.4b.至約5 in,_lb.的扭矩個別地將熱邊緣環238及 RF麵口環24〇機械地夾持一起。下熱導介質MO及上熱導介質⑽ =料包括SIL-PAD®墊片、q_PAM)II墊片與驗丁⑽⑧塾片。 ,完成該毯覆光__刻後,跨越晶_紐量測 (nm/min) ° 圖9A-9C個別地說明總功率約i娜、約2 kw及約3 kw /為控向位置之純祕辦。自目9A_9C來看有五種執導介 ❹ 扭矩叫勘⑧下及上整片;⑼帶有5in._lb Q" 下及上墊片;(C)帶有5in.-lb扭矩的二SIL-PAD® β =PT嶋上塾片;(D)無下熱導介質;二SIL_PA⑽上 墊片;及(E)無下或上熱導介質。 式卜^^^所指(箭頭所指的圓圈區),下熱導介質250及/ 二0、、、導"貝260的存在(曲線A-D)會影響靠近晶圓邊緣處(即 攄掌徑向位置)之光阻的蝕刻率。自圖9a-9c來看’ 率2 kw與3 kw下’帶有2虹咄扭矩及5虹毛扭 、Q' 下及上墊片產生最均勻的光阻蝕刻率。 太枯t已ff、本文中數個具體實施例而詳述本發明,對於熟悉 ^ ’在附加之翻請求獅範圍内,當 了做各式、支更、修正,及所運用的等價動作。 201012306 【圖式簡單說明】 外:,^明ίίί理設備之喷淋頭電極組件及基板支座之 貝軛例的一部份,其包括熱邊緣環組件。 圖2Α·2Β顯示帶有熱邊緣環、RF #合環及基板支座(盆帶有 環狀支座)之熱邊緣馳件的實施例,其包括下及上熱導介質。 杜j 帶有熱邊緣環、郎_合環及基板支座之熱邊緣環組 件的另一貝她例,其包括作為熱導介質的加壓熱傳氣體。 圖4A-4C帶有熱邊緣環、rf輛合環(其帶有加熱元件)及基 板支座之熱邊緣環組件的另一實施例,其包括作為熱導介質的加 壓熱傳氣體。 ' 圖5說明熱邊緣環於多種電漿處理循環時間使用不同下及上 熱導介質的溫度曲線圖。 圖6A-6B說明熱邊緣環的溫度曲線圖係變化的氦埶傳 壓的函數。 ....... 圖7A-7B說明熱邊緣環的溫度曲線圖係環狀通道中變 熱傳氣體靜壓的函數。 圖8說明Ο型環在熱邊緣環之溫度曲線圖的效用。 圖9A-9C §兒明使用帶有不同下及上熱導介質之熱邊緣環组件 時光阻的蝕刻率均勻度。 … '' 【主要元件符號說明】 10 喷淋頭電極組件 12 上電極 14 支承構件 16 熱控制板 18 基板支座 20 基板 22 基板支座表面 24 内電極構件 18 201012306 26 外電極構件 28 氣體通道 30 氣體通道 32 充氣部 34 支承板 36 支承環 38 熱邊緣環 40 RF輛合環 42 環狀支撐表面 44 熱邊緣環遮蓋部 〇 46聚焦環 48 地環遮蓋部 49 接地延伸部 200 熱邊緣環組件 218 基板支座 220 基板 222 基板支座表面 238 熱邊緣環 238A周圍凹部 〇 240 RF耦合環 242 環狀支樓表面 250 下熱導介質 252 下墊片 260 上熱導介質 262 上墊片 270 下栓 272 夾持環 272A凸緣 274 上栓 276 聚亞醯胺環 201012306 300 熱邊緣環組件 318 基板支座 320 基板 322 基板支座表面 338 熱邊緣環 33 8A 熱傳氣體曝露面 340 RF柄合環 340A 熱傳氣體曝露面 342 環狀支撐表面 350 下熱導介質 352 下墊片 360 上熱導介質 363A 内上0型環 363B 外上0型環 364 環狀通道 365 溝槽 366 上部體積 380 控制器 382 溫度感測器 384 熱傳氣體源 386 真空幫浦 400 主動溫控之熱邊緣環組件 418 基板支座 420 基板 422 基板支座表面 438 熱邊緣環 440 RF柄合環 440A 熱傳氣體曝露面 442 環狀支樓表面 442A 熱傳氣體曝露面
20 201012306 450 下熱導介質 460 上熱導介質 462 上墊片 463C 内下0型環 463D 外下0型環 464 環狀通道 465 溝槽 468 下部體積 480 控制器 482 溫度感測器 484 熱傳氣體源 486 真空幫浦 488 電源供應器 490 加熱元件

Claims (1)

  1. 201012306 七、申請專利範圍: 1.種文胤度控制的熱邊緣環組件,適於圍繞著一電漿反應腔室 中所支標的一半導體基板,該纟且件包括: .-基板支座,帶有圍繞著-基板支座表面的一環狀支樓表 面; -射頻(RF)辆合環’覆蓋著該環狀支撐表面; 墊;Μ ’ 奸 -^邊緣,,覆蓋著f亥RF輕合環,其中該基板支座適於支 撐者基板,俾使該基板的外、__歸緣環之上;及 Q L二Λ鱗介* ’介於該熱邊緣環_ R^合環之間。 熱導^質S圍第1項之叉溫度控制的熱邊緣_件,其中該 同<7西ϋ型卜0型環,該内0型環與該外0型環係 3. 逆=壓=環= 4. Q 〇 &邊緣環於電裝處理期間的溫 體源與—真空幫浦,與該體積連接,變庫钟制 器的-靜 熱導;^項的熱邊緣?t組件,其中該 如申請專利範圍第5項:受溫度組件,其中該 22 5. 201012306 ❹ ❹ 上墊片與該下墊片係由下述物所組成: 石夕酮為基的薄片; 金屬與聚合物材料的層板; (a)銘或鋁合金,·與(b)填充矽酮橡膠的層板; (a)聚亞醯胺材料;與(b)填充矽酮橡膠的層板;或 一含氟彈性體。 如申請專利範圍第1項之受溫度控制的熱邊緣環組件,其中以 一冷卻液主動地冷卻該基板支座。 如申請專利範圍第1項之受溫度控制的熱邊緣環組件,其中將 該RF耦合環機械地夾持於該環狀支撐表面;及將該熱邊 機械地夾持於該RF耦合環。 如申請專利範圍第8項之受溫度控制的熱邊緣環組件,其 即輕合環被問於該環狀支撐表面;及更包含具有一徑向延^ ίίΐ的—夾持環,且該熱邊緣環具有—周圍凹部,該周圍凹 二;;。凸緣配合並將該熱邊緣環固定於該RF耦合環,該夾持 裱被閂於RF耦合環。 犬符 如,請專利範圍第9項之受溫度控制的熱邊緣環組件 一聚亞醯胺環,介於該夾持環及該熱邊緣環之間。 利範圍第1項之受溫度控制的熱邊緣環組件,其中該 7. 9. 10. 氧_ 1、碳辨、編_組成;_ 料所組成 岭、碳切或石英所域;及該祕環軸^材 在該基板支座上支度的方法,該方法包括: 將一處理氣體導入該電漿處理腔室内; ,予該處理氣體能量,使其成為電漿狀態; 量測該熱邊緣環的溫度; … 一 度’則降低該體積中 專讀的壓力,或如果該熱邊緣環的溫度高於-目標溫 23 201012306 氣編力;及 13·如申請專利範圍第12項 — 如申請專利範圍第4項二 ίΐαΐ其中以該電漿處理該基板包括:⑻半導體材* ΓΪΪ 14二^層的電細;或⑻導電或介以才Si 一絲1電材枓,或為一沈積腔室,適於沉積導電或介雷絲祖。 種文溫度控制的熱邊緣環組件,適 ^將 ’ 中的一一半導體基板支座,該組件^於圍4电漿反應腔室 面;基板支座、有醜著—基板支絲面的—環狀支撐表 its?,,1項之熱邊緣環組件的電裝處理設 15. ⑩ 耦合環,位在該環狀支撐表面上,其中 耦δ環機械地夾持於該環狀支撐表面; 、、/ 二絕熱介質’介於該雜支撐表面與該RF#合環之門· 地^著Γ轉合環,其中將該熱邊緣環機械 二熱導介質,介於該熱邊緣環與該RF耦合環之間。 Q 範圍第15項之受溫度控制的熱邊緣環組件,其中 w、Ά、、、Ή貝包括: 第一内Ο型環與第一外〇型環,該第一内〇型環與該第一 Ο型環係同心配置的,其中該第一内〇型環、第一外〇型 二、該RF耦合環與該環狀支撐表面定義一第一體積,該第— 體積適於容納減壓的氣體;或 一下墊片。 申叫專利乾圍第15項之受溫度控制的熱邊緣環纟且件,i φ 該熱導介質包括: 衣、千,、中 第二内Ο型環與第二外0型環,該第二内〇型環與該第二 外Ο型環係同心配置的,其中該第二内〇型環、第二外〇型 24 201012306 環 適於容納合環定義-第二體積,該第二體積 上墊片,該上塾片係導執及導電的。 :緣環組件,更包 1申請專利範圍第17項之^度控制的絲 •控制器; 1,^^^邊緣環於·處理期間的溫 垃一 11傳氣體源與—真空幫浦’與該第—體積及第二體積連 排空該第-體積與i第=^應該控制器而操作該真空幫浦以 件 一加熱元件,嵌入該RF耦合環中;及 •電源供應器,適於響應該控制器而供應電力予該加熱元 19 二里f室中之一基板的電漿處理期間控制如申 明專利辄圍弟15項之熱邊緣環組件溫度的方法 在該基板支座上支撐著該基板; ^方法G括. ❹ 將一處理氣體導入該電漿處理腔室内; 量測該熱邊緣環的溫度; 如果該熱邊緣環的溫度低於一目標溫度, ^應電力而增加該熱邊緣環度;或如果該 南於該目標溫度,祕止_加熱元件供·;;邊=的,度 積中之熱傳氣體的壓力; ’、心,且s加該體 給予該處理氣體能量,使其成為電漿狀態,· 以該電漿處理該基板。 20. -種受溫度控制的熱邊緣環組件,適於圍繞 中所支標的-半導體基板,输件包括·· 絲反應腔室 面;-基板支座,帶有圍繞著一基板支絲面的—環狀支撐表 25 201012306 一射頻(RF)耦合環,位於該環狀支撐面上; -下熱導介質,介於該環狀支撐表面與該处耦合環之間, 其中將该RF搞合壞機械地夾持於該環狀支撲表面; —熱邊緣環,覆蓋著该RF轉合環,其中該基板支座適於支 撑著_一_^反卑使該基板的外緣懸於該熱邊緣環之上;及 將該質’介於該熱邊緣環與該处耦合環之間,豆中 、展機械地夾持於該RF耦合環。 、 八、圖式:
    26
TW098127373A 2008-08-15 2009-08-14 受到溫度控制之熱邊緣環組件 TWI513374B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/222,789 US8449679B2 (en) 2008-08-15 2008-08-15 Temperature controlled hot edge ring assembly

Publications (2)

Publication Number Publication Date
TW201012306A true TW201012306A (en) 2010-03-16
TWI513374B TWI513374B (zh) 2015-12-11

Family

ID=41669522

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098127373A TWI513374B (zh) 2008-08-15 2009-08-14 受到溫度控制之熱邊緣環組件

Country Status (6)

Country Link
US (1) US8449679B2 (zh)
JP (2) JP5946640B2 (zh)
KR (1) KR101670096B1 (zh)
CN (1) CN102150243B (zh)
TW (1) TWI513374B (zh)
WO (1) WO2010019196A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742127B (zh) * 2016-08-04 2021-10-11 日商日本新工芯技股份有限公司 環狀電極

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004095529A2 (en) * 2003-03-21 2004-11-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
SG10201407723PA (en) 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
CN103415843B (zh) * 2011-03-08 2016-12-21 国际商业机器公司 删除多目标体系结构中的源和省空间的目标之间的关系
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
JP5838054B2 (ja) * 2011-07-27 2015-12-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8541303B2 (en) 2011-09-28 2013-09-24 United Microelectronics Corp. Method for fabricating MOS transistor
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8772159B2 (en) 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US8598033B1 (en) 2012-10-07 2013-12-03 United Microelectronics Corp. Method for forming a salicide layer
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US8476164B1 (en) 2012-10-26 2013-07-02 United Microelectronics Corp. Method of manufacturing semiconductor device with silicide
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9076759B2 (en) 2013-01-10 2015-07-07 United Microelectronics Corp. Semiconductor device and manufacturing method of the same
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
KR101317942B1 (ko) * 2013-03-13 2013-10-16 (주)테키스트 반도체 제조용 척의 에지링 냉각모듈
US9006072B2 (en) 2013-03-14 2015-04-14 United Microelectronics Corp. Method of forming metal silicide layer
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
JP6226117B2 (ja) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9799497B2 (en) * 2013-08-16 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Patterned processing kits for material processing
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
JP6261287B2 (ja) * 2013-11-05 2018-01-17 東京エレクトロン株式会社 プラズマ処理装置
JP2015115421A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
KR102335891B1 (ko) * 2013-12-26 2021-12-03 어플라이드 머티어리얼스, 인코포레이티드 유동성 막들의 광-보조 증착
KR20160122172A (ko) 2014-02-13 2016-10-21 허니웰 인터내셔날 인코포레이티드 압축성 열전도 재료
JP6540022B2 (ja) * 2014-12-26 2019-07-10 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
CN105551925A (zh) * 2015-12-08 2016-05-04 武汉华星光电技术有限公司 干刻蚀装置
JP7098273B2 (ja) * 2016-03-04 2022-07-11 アプライド マテリアルズ インコーポレイテッド ユニバーサルプロセスキット
JP6842469B2 (ja) 2016-03-08 2021-03-17 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. 相変化材料
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6700118B2 (ja) * 2016-06-24 2020-05-27 東京エレクトロン株式会社 プラズマ成膜装置および基板載置台
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
US10504720B2 (en) 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
KR102581226B1 (ko) 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11041103B2 (en) 2017-09-08 2021-06-22 Honeywell International Inc. Silicone-free thermal gel
WO2019088204A1 (ja) * 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
TWI780093B (zh) * 2017-12-15 2022-10-11 美商蘭姆研究公司 用於電漿腔室的環結構及系統
US11072706B2 (en) 2018-02-15 2021-07-27 Honeywell International Inc. Gel-type thermal interface material
CN108447817A (zh) * 2018-03-20 2018-08-24 君泰创新(北京)科技有限公司 硅片托举装置
KR101995760B1 (ko) * 2018-04-02 2019-07-03 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102096985B1 (ko) * 2018-08-23 2020-04-03 세메스 주식회사 기판 처리장치
KR102111504B1 (ko) * 2018-10-15 2020-05-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US11875970B2 (en) 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
US11373921B2 (en) 2019-04-23 2022-06-28 Honeywell International Inc. Gel-type thermal interface material with low pre-curing viscosity and elastic properties post-curing
KR102290912B1 (ko) * 2019-05-09 2021-08-18 세메스 주식회사 기판 지지 유닛 및 이를 가지는 기판 처리 장치
KR102200315B1 (ko) * 2019-07-29 2021-01-08 세메스 주식회사 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102102131B1 (ko) * 2019-10-31 2020-04-20 주식회사 테크놀로지메이컬스 결합형 포커스 링
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
KR20220102201A (ko) 2021-01-12 2022-07-20 삼성전자주식회사 척 어셈블리, 그를 포함하는 반도체 소자의 제조 장치, 및 반도체 소자의 제조방법
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
CN116453315B (zh) * 2023-05-05 2024-03-12 山东暖康新能源科技有限公司 一种基于消防的线型超长距离感温报警系统

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4654754A (en) 1982-11-02 1987-03-31 Fairchild Weston Systems, Inc. Thermal link
US4782893A (en) 1986-09-15 1988-11-08 Trique Concepts, Inc. Electrically insulating thermally conductive pad for mounting electronic components
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE69328687D1 (de) 1993-07-06 2000-06-21 Toshiba Kawasaki Kk Wärmeleitende platte
CA2129073C (en) 1993-09-10 2007-06-05 John P. Kalinoski Form-in-place emi gaskets
DE4339786C5 (de) 1993-11-18 2004-02-05 Emi-Tec Elektronische Materialien Gmbh Verfahren zur Herstellung einer Anordung zur Wärmeableitung
US5545473A (en) 1994-02-14 1996-08-13 W. L. Gore & Associates, Inc. Thermally conductive interface
JPH07310187A (ja) * 1994-05-16 1995-11-28 Kobe Steel Ltd プラズマ処理装置
US5476548A (en) 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5679457A (en) 1995-05-19 1997-10-21 The Bergquist Company Thermally conductive interface for electronic devices
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5805408A (en) 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5781412A (en) 1996-11-22 1998-07-14 Parker-Hannifin Corporation Conductive cooling of a heat-generating electronic component using a cured-in-place, thermally-conductive interlayer having a filler of controlled particle size
AU740288B2 (en) 1997-02-13 2001-11-01 Monsanto Company Method of preparing amino carboxylic acids
US5978202A (en) 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6034863A (en) 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
US6096414A (en) 1997-11-25 2000-08-01 Parker-Hannifin Corporation High dielectric strength thermal interface material
US6131646A (en) 1998-01-19 2000-10-17 Trw Inc. Heat conductive interface material
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
JP2000150487A (ja) * 1999-01-01 2000-05-30 Hitachi Ltd プラズマ処理方法
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6165612A (en) 1999-05-14 2000-12-26 The Bergquist Company Thermally conductive interface layers
US6496373B1 (en) 1999-11-04 2002-12-17 Amerasia International Technology, Inc. Compressible thermally-conductive interface
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6343647B2 (en) 2000-01-11 2002-02-05 Thermax International, Ll.C. Thermal joint and method of use
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6475933B1 (en) 2000-01-27 2002-11-05 Northrop Grumman Corporation Highly conductive elastomeric sheet
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
PT1264126E (pt) 2000-03-06 2008-01-10 Interface Solutions Inc Juntas de vedação com propriedades controladas de aderência à superfície de flanges
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
JP2002093777A (ja) 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
US6433484B1 (en) 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6651736B2 (en) 2001-06-28 2003-11-25 Intel Corporation Short carbon fiber enhanced thermal grease
DE10156407A1 (de) 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
US7208192B2 (en) 2002-05-31 2007-04-24 Parker-Hannifin Corporation Thermally or electrically-conductive form-in-place gap filter
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7205050B2 (en) 2003-06-09 2007-04-17 Permatex, Inc. Low shear adhesion RTV silicone
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7014959B2 (en) 2003-06-30 2006-03-21 International Business Machines Corporation CD uniformity of chrome etch to photomask process
US7137444B2 (en) 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
JP4361835B2 (ja) * 2004-06-04 2009-11-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御部材及びプラズマ処理方法
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7250373B2 (en) 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4783094B2 (ja) * 2005-09-02 2011-09-28 東京エレクトロン株式会社 プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7405160B2 (en) * 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
US7560007B2 (en) 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US20080066683A1 (en) * 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
KR100809957B1 (ko) 2006-09-20 2008-03-07 삼성전자주식회사 반도체 식각장치
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7598150B2 (en) 2006-11-20 2009-10-06 Applied Materials, Inc. Compensation techniques for substrate heating processes
JP4792381B2 (ja) * 2006-12-25 2011-10-12 東京エレクトロン株式会社 基板処理装置、フォーカスリングの加熱方法及び基板処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742127B (zh) * 2016-08-04 2021-10-11 日商日本新工芯技股份有限公司 環狀電極

Also Published As

Publication number Publication date
KR20110045005A (ko) 2011-05-03
CN102150243B (zh) 2014-11-26
KR101670096B1 (ko) 2016-10-27
JP5974054B2 (ja) 2016-08-23
WO2010019196A2 (en) 2010-02-18
JP5946640B2 (ja) 2016-07-06
JP2014222786A (ja) 2014-11-27
JP2012500470A (ja) 2012-01-05
TWI513374B (zh) 2015-12-11
CN102150243A (zh) 2011-08-10
WO2010019196A3 (en) 2010-04-15
US8449679B2 (en) 2013-05-28
US20100040768A1 (en) 2010-02-18

Similar Documents

Publication Publication Date Title
TW201012306A (en) Temperature controlled hot edge ring assembly
TW564477B (en) Showerhead electrode design for semiconductor processing reactor
TWI613720B (zh) 脫離控制方法及電漿處理裝置之控制裝置
TWI446403B (zh) 電漿處理設備用之噴淋頭電極組件
TW200837865A (en) Substrate processing apparatus and focus ring
KR101217379B1 (ko) 포커스 링 및 기판 탑재 시스템
JPH01251735A (ja) 静電チャック装置
JP4695606B2 (ja) 被処理基板の載置装置におけるフォーカスリングの熱伝導改善方法
JP2001502116A (ja) 高密度プラズマの化学気相堆積用の可変高温チャック
KR20100016083A (ko) 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
KR20070088758A (ko) 공간 온도 분포를 제어하기 위한 방법 및 장치
JP2012104847A (ja) 低減されたポリマー堆積特性を有するプラズマ閉じ込めリング組立体
JP2005051201A (ja) 熱伝達用アセンブリ
JP2009302508A (ja) 基板保持装置
JP4444437B2 (ja) プラズマ処理装置
TW200901352A (en) Dynamic temperature backside gas control for improved within-substrate process uniformity
TW200818311A (en) Heat conductive structure and substrate treatment apparatus
JPH02145782A (ja) エツチング装置
JPH05129210A (ja) ホツトプレート
JPH04358074A (ja) ホットプレート
JP2009238869A (ja) 搬送トレー及びこの搬送トレーを用いた真空処理装置
JP4456218B2 (ja) プラズマ処理装置
JP5430192B2 (ja) 温度調節装置、温度調節方法、基板処理装置及び対向電極
JPH08333681A (ja) 活性ガスを用いた平らなサンプルの表面化学処理装置
JP2002100616A (ja) プラズマ処理装置