TW200302295A - Electroless deposition apparatus - Google Patents

Electroless deposition apparatus Download PDF

Info

Publication number
TW200302295A
TW200302295A TW092101324A TW92101324A TW200302295A TW 200302295 A TW200302295 A TW 200302295A TW 092101324 A TW092101324 A TW 092101324A TW 92101324 A TW92101324 A TW 92101324A TW 200302295 A TW200302295 A TW 200302295A
Authority
TW
Taiwan
Prior art keywords
substrate
deposition
layer
fluid
item
Prior art date
Application number
TW092101324A
Other languages
English (en)
Inventor
Joseph J Stevens
Dmitry Lubomirsky
Ian Pancham
Donald J K Olgado
Howard E Grunes
Edwin Mok Yeuk-Fai
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200302295A publication Critical patent/TW200302295A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1628Specific elements or parts of the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1678Heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Catalysts (AREA)

Description

200302295 玖:、發明說明 (發明說明應敘明:發明所屬之技術領域、先前技術、內容、實施方式及圖式簡單說明) 一、 發明所屬之技術領域 此項發明大致係有關一種將導電材料沈積在基材上 形成之次微米孔隙上之裝置及方法。 二、 先前技術 可靠地次微米或更小尺寸製造是半導體元件上超大 型積體電路(VLSI)與極大型積體電路(ULSI)技術的關 鍵。然而,隨著外圍電路技術所承受的壓力;在VLSI與 ULSI製程能力上,縮小内部連接線的尺寸已是傳統需 求。此項技術的核心:多層内部連接線需要在精確製程 上擁有高的高寬比,例如層間引洞(vias)與其他内部連接 線。可靠的形成這些内部連接線對於VLSI與ULSI的成功 與持續地增加線路密度及個別基材的品質扮演非常重要 的角色。 隨著線路密度的增加,層間引洞寬度(vias)、接面及 其他特徵孔、或介於它們之間的介電材料亦隨之縮減為 次微米尺寸,然而介電層厚度大致維持在一常數,導致 高寬比值增加,亦即高度除以寬度。許多傳統的沈積過 程,在高寬比超過2·. 1時,填充次微米結構時將會遇到困 難,特別在高寬比超過4:1時更顯著。因此,許多努力正 朝向形成高的高寬比之無空洞(void)次微米特徵而進行。 目前,銅與其合金成為次微采内部連接線技術中金 屬的選擇,因為銅比铭的電阻低(銅為1.7μΩ-cm铭為 3.1μΩ<ηι),並有高的電流承載容量與有效的高電子轉移 200302295 阻力。&些特徵對支持高電流密度於高階整合與增加元 件,度相田重要。此外’鋼擁有相當好的熱傳導係數且 其南純態易於獲得。 電鍍疋-種已被使用來填充高高寬比物質於基材上 的製程。電鍍製程傳統上需要一薄的電傳導種晶層㈣ yer)沈積於基材上。電鍍是由種晶層通電流加上基材浸 於含有金屬離子的電解溶液中來完成的,此時極板位在 種晶層的上方。種晶層通常包括一導電金屬,如銅“專 統上’種晶層是利用物理氣相沈積(PVD)或化學氣相沈積 (CVD)技㈣成。纟電鍍中,連續金屬種晶層是傳導電流 的要素。Ik特徵尺寸的縮小,沈積均勻覆蓋(_^咖a^) 的種晶層能力相對需提高。在電鍍中,基材上非連續種 晶層將會產生許多問題。 例如,當非連續式出現在金屬種晶層時,部分的種 晶層並未在電性上與位差電源供應連接,造成在電鍍過 程中並未產生沈積。特別在物理氣相沈積中,沈積出連 績且均勻的種晶層,在高的高寬比之次微米特徵孔變得 很困難。種晶層會傾向變成非連續,特別是在特徵孔表 面的底部,因為沈積在窄如次微米的特徵孔孔隙中是很 困難的。在高高寬比的内部連接特徵孔中,非連續的金 屬種晶層會造成空洞(v〇i句產生。在電鍍的過程中,金屬 會沈積於所有在電性上與位差電源供應相連接的表面 上。因為電鍍的金屬在任何方向均會成長,在種晶層上 非連續區域的周圍會產生橋狀連接,而殘留空洞於鄰近 200302295 非連續區的特徵孔内。這些空洞會使内部連接線操作特 性改變且可能造成元件上不當的操作與過早崩潰。美國 專利6,197,181“電解沈積金屬於微電子工件的方法與裝 X (Apparatus and Method For Electrolytically Deposition a Metal on a Microelectronic Workpiece)”揭示利用驗性電 鍍液以電鍍來修補由PVD或CVD所沈積之銅種晶層進而 形成“強化型種晶層"(enhanced seed layer)。在電鑛銅 時,酸性電鍍液比鹼性電鍍液擁有較高的整體沈積(bulk deposition)速率。然而在此專利製程所提供之“強化型種 晶層”其效能仍依賴銅種晶層,而銅種晶層仍會面臨如先 前所陳述之問題。 無電極沈積是另一種沈積導電材料的程序。雖然無 電極式沈積技術已廣泛利用在無導電性印刷電路板上導 電材料的沈積,但並未延伸使用在VLSI與ULSI半導體的 内部連接線上。無電極沈積與自我催化化學沈積程序相 關,其反應不需外加電流。傳統上,無電極沈積是將基 材浸泡於溶液中或將溶液喷灑於基材上。這些在製造印 刷電路板的技術點出了採用無電極沈積技術來沈積高的 高寬比特性的問題所在,如印刷電路板上通孔 (through-holes)直徑為0.028或0.018英吋。例如美國專利 5,648,125“製造印刷電路板上之無電極電鑛程序 (Electroless Plating Process For the Manufacture Of Printed Circuit Boards)”揭示無電極鎳沈積程序;闡述在 小的高高寬比之孔洞上,如直徑0.18英吋通孔,在考量適 200302295 當之鍍通孔製程困難度時,趨於重視以加壓之方法論製 造印刷電路板。 美國專利6,197,688“半導體元件之内部連接線構造 與其形成方法(Interconnect Stmcture in a Semiconductor
DeVlce and Method of Formation)”建議無電極沈積之材 料。然而此篇專利並未提及在次微米無電極沈積材料之 程序條件。所以利用無電極沈積在次微米幾何之基材 上’ k今仍無論證出令人滿意的方法。
利用無電極或電鍍方法沈積導電材料在微米技術需 要一可使電子轉移與導電材料產生成核作用的表面。非 金屬表面與氧化表面是無法參與電子轉移的例子。而若 由鈦、氮化鈦、鈕或氮化鈕作為阻障層(barrieriay^),
其後沈積之導電材料層時會有較差的成核作用表面,因 為迫些阻障層材料易於形成自然氧化物。種晶層,如鋼 種晶層,可視為有能力作電子轉移的表面。然而在非連 =性種晶層情況下,其後沈積導電材料層的成核作用會 文的不70全且在種晶層上亦會沈積得不均勻。 因此’將導電材料沈積在具次微米特性之基材上的 我置及方法是有必要作改善的。 赞叨内容 彳用此項發明方法填充基材14上之J 材結構10剖面圖。基材丨 何工柯14扎有薄膜製程完成於其上的右 〇如’基材14可為半導體的夕曰 晶圓上的其他全屬㊣ 千導體的矽曰曰囫或已形成* 、他金屬層。-介電層12沈積在基材上。介, 9 200302295 層可為氧化物、氧化矽、碳矽氧化合物、氟化矽、多孔 介質、或其他適當之介質。介電層12因有圖案故可形成 特徵孔16,如層間引洞、溝槽、接觸孔、或一延伸於部 分裸露基材14表面的線。此發明亦可經由使用雙鑲嵌製 私(dual damascene process)流程的技藝加以瞭解。基材結 構ίο通常不但表示基材14亦泛指其他形成於基材14上之 金屬層,如介電層12和其他隨後沈積的金屬層。 圖1 A所示為一填充特徵孔16的方法,包含沈積基材 結構10上的阻障層20、沈積阻障層20上的種晶層22、沈 積種晶層22上的觸媒層24、與填充剩下空隙中的導電材 料層26。圖1B所示為另一具體例,填充特徵孔16的剖面 圖’其中沈積阻障層20於基材結構1〇上、沈積觸媒層24 於阻障層20上、並填充導電材料層26於剩下空隙中。圖 1C所示為再一具體例,填充特徵孔16的剖面圖,其中沈 積觸媒層24於基材結構1〇上,並填充導電材料層26於剩 下空隙中。圖1A-1C中,導電材料層26可經由無電極沈 積、電鍍、化學氣相沈積或由此三項沈積方式的組合而 形成。圖1A-1C所示的方法通常會再跟隨著頂端部份的平 坦化,如化學機械研磨,如圖1D所示即為圖1A平坦化後 的剖面圖。此方法已適用在填充〇·5次微米、〇·25次微米 與〇·13次微米之特徵尺寸上。 阻障層沈積 沈積阻障層是為防止沈積在其上之金屬材料擴散至 其下的基材或介電層。阻障層金屬包括耐高溫金屬與耐 200302295 高溫氮化金屬’如钽(Ta)、氮化组(簡小鈦(Ti)、 氮化鈦(TlNx)、鎢(W)、氮化鎢(WNx)、與以上之合 成物。其他之阻障層材料還包括氮氣所填充之pvD鈦、 含摻質之梦、銘、氧化銘、氮化錢、氮化料、與以 上之合成物。一具體例中,阻障層包含c〇wp,其已在建 檔於2000年六月22日之申請中美國專利序號〇9/599,125 “處理基材的方法,,中有完整的介紹,此專利可合併做 本發明之延伸參考而非相互矛盾。 阻障層可由CVD、PVD、無電極沈積技術、或分子 束磊晶形成。阻障層亦可由單一或連續的多層薄膜沈積 在同一或多重技術之組合。 物理氣相沈積中,適合阻障層沈積之技術包括高密 度電漿物理氣相沈積(HDP PVD)或準直或長拋濺鍍。 HDP PVD的其中一型為離子化金屬電漿物理氣相沈積 (IMP P VD )。一利用IMP PVD所得之阻障層的沈積室為 IMP VECTRATM室。此沈積室與製程方法由美商應用材 料公司(Applied Materials,Inc· of SantaClara,California ) 所提供。一般而言,IMP PVD是將有效部分的金屬靶上 材料離子化,再沈積濺鍍材料至基材上。在沈積室中, 成團狀的電力加強了錢锻材料的離子化。離子化可使賤 鍍材料充分地受垂直於受偏壓基材表面的吸引,故可沈 積出具有良好階梯覆蓋的材料層於高高寬比的特徵尺寸 上。沈積室亦包括一參與反應的氣體,如沈積氮化金屬 的氮氣。一示範製程為使用物理氣相沈積來沈積阻障 200302295 層,其已詳述於申請中之美國專利序號09/650,108 “填 充銅於高高寬比之内部連接特徵孔的方法(Method For Achieving Copper Fill Of High Aspect Ratio Interconnect Features)” ,建播於2000年8月29曰,此專利可合併 做本發明之延伸參考而非相互矛盾。 一利用化學氣相沈積所得之阻障層的沈積室為CVD TxZTM室。此沈積室與製程方法亦是由美商應用材料公 司(Applied Materials,Inc. of SantaClara,California )戶斤 提供。一般化學氣相沈積需要金屬先驅物(metal precursor) 流入沈積室内。金屬先驅物以化學反應方式將金屬層沈 積在基材表面上。化學氣相沈積會再進一步利用電敷的 幫助來沈積金屬層在基材表面上。一示範製程為使用金 屬先驅物來沈積阻障層,其已詳述於申請中之美國專利 序號09/505,638 “利用新金屬先驅物形成化學氣相沈積 之阻障層(Chemical Vapor Deposition of Barriers From Novel Precursors)” ,建槽於 2000 年 2 月 16 日,另一申 請中之美國專利序號09/522,726 “利用MOCVD沈積氮 化钽層之研究(MOCVD Approach To Deposit Tantalum Nitride Layers)” ,建檔於 2000 年 3 月 10 日,,此二專 利可合併做本發明之延伸參考而非相互矛盾。另外,PVD 室或/和C VD室可整合至一製程平台,如ENDURA平 台,其亦由美商應用材料公司(Applied Materials,Inc. of SantaClara,California)所提供。 種晶層沈積 種晶層22包含一導電金屬可幫助在其上之材料的 200302295 沈積。種晶層儘量由銅種晶層或其合金所組成。其他的 金屬特別是貴金屬亦可作為種晶層。通常所知的種晶層 沈積於阻障層上的技術,包含物理氣相沈積與化學氣相 沈積。 物理氣相沈積中,適合種晶層沈積之技術包含高密 度電漿物理氣相沈積(HDP PVD)或準直或長拋濺鍍。 HDP PVD的其中一型為離子化金屬電漿物理氣相沈積 (IMP PVD)。一利用IMP PVD所得之種晶層的沈積室為 IMP VECTRATM室。此沈積室與製程方法是由美商應用 材料公司(Applied Materials, Inc. of SantaClara, California )所提供。一使用物理氣相沈積來沈積種晶層 之示範製程,其已詳述於申請中之美國專利序號 09/650,108 “填充銅於高高寬比之内部連接特徵孔的方 法(Method For Achieving Copper Fill Of High Aspect Ratio Interconnect Features)” ,建播於 2000 年 8 月 29 曰,此專利可合併做本發明之延伸參考而非相互矛盾。 一利用化學氣相沈積所得之種晶層的沈積室為CVD TxZTM室。此沈積室與製程方法亦是由美商應用材料公 司(Applied Materials,Inc. of SantaClara,California )戶斤 提供。一使用化學氣相沈積來沈積種晶層之示範製程, 其已詳述於美國專利6,171,661 “提升黏著力之銅沈積方 法(Deposition of Copper with Increased Adhesion)” 發表 於2001年1月9日。 在種晶層沈積中,物理氣相沈積優於化學氣相沈 13 200302295 積,乃因PVD種晶層對阻障層的黏著力較佳且電阻值 低。且-般相信PVD種晶層對其後沈積在其上的觸媒層 亦能提升其間之黏著力。 觸媒層和\或導電材料層之無電極沈積裝置 觸媒層24可能沈積在種晶層22或阻障層2〇上,亦或 疋在無阻障層之基材結構1G上。一具體例中觸媒層利用 無電極沈積形成。—具體例中,觸媒層之無電極沈積包 含基材結構與水溶液接觸,其水溶液包含1)貴金屬離 子、半貴金屬離子、或以上之合成物和2)四族金屬離子 如錫離子(Sn)。另一具體例中,觸媒層之無電極沈積包含 基材結構與含四族金屬離子的水溶液接觸,其後基材結 構再與含貴金屬、半貴金屬、或以上合成物之水溶液接 觸。 一具體例中,導電材料層26,如銅層,可藉由基材 、、、口構與合有金屬離子,如銅離子,的水溶液及還原劑的 接觸沈積於觸媒層24上。 觸媒層與導電材料層的無電極沈積方法可採用任何 一基材與製程溶液接觸之沈積室達成,如無電極沈積 至、電鑛室等。一具體例中,觸媒層與導電材料層是在 同/尤積至内沈積。在另一具體例中,觸媒層與導電材 料層是在不同沈積室内沈積。觸媒層與導電材料層在不 同沈積室内沈積’乃考量減少沈積室内粒子的產生與沈 積’導源於觸媒層溶液及導電材料層溶液反應。 圖2所示為觸媒層和\或導電材料層之沈積室的剖 200302295 面圖’為本專利所述之一具體例。當然此沈積室100亦可 用於異於觸媒層與導電材料層的其他型態的薄層。 沈積至100包括一製程區間(processing compartment) 102其由頂部1〇4、側壁1〇6與底部1〇7組 成。基材支撐架112通常位在沈積室1 〇〇的中心位置。 基材支撐架112包含一基材接收面114接受“面朝上” 之基材lio。在程序過程,基材110在支撐架112上為“面 朝上時,可減少流體中氣泡的產生。例如,氣泡可能 經由流體本身、機台操作、或由濕晶圓的傳送過程中產 生。如果基材在製程過程中“面朝下,,,流體中的氣泡 會因浮力的關係而限制在基材表面。氣泡會因浮力而在 流體中上升,若基材面朝上可減少氣泡累積在晶圓表面 上。基材面朝上亦可減少基材傳送機制的複雜度、增加 在製程過程中基材清洗能力、允許基材在濕態中傳輸減 少基材的氧化與污染。 基材支撐架112可包含陶瓷材料(如鋁AI2〇3、碳化 矽(SiC)) 、TEFLONTM塗佈之金屬(如鋁或不鏽鋼)、高 分子材料、或其他適當材料。TEFL〇NTM如前所述為氟 化高分子的通稱,如高性能氟(ETFE)、三氟氣乙烯 (ECTFE)、PFA、PTFE、FEP、PVDF 等。通常基材支撐 架112使用鋁最佳。基材支撐架112更進一步會包含一 嵌入式加熱元件,特別是當基材支撐架為陶瓷或高分 材料時。 门刀 沈積室100更包含-狹槽108或一可提供機械(未 15 200302295 標明於圖中)將晶圓進出傳送於沈積室1〇〇内外的通道。 另一選擇為基材支撐架112從製程區間102的頂部1〇4 舉起基材,提供其進出沈積室100。 舉升元件116位在基材支撐架112的下方,可使與 其相連的舉升栓(lift pins)118穿過縫隙12〇在基材支撐 架112中升降。舉升栓(liftpins)118可升降基材11〇使其 往來於基材支撐架112上的基材接收面114。 馬達】22通常與基材支撐架112連接,其帶動基材 支撐架112旋轉並使基材轉動。一具體例中,舉升拴 pms)118的位置低於基材支撐架112,使支撐架可獨立旋 轉不受舉升栓118的干擾。另一具體例中,舉升栓⑴ Pins)118會隨基材支撐架112旋轉。 基材支撐架112可加熱基材110至所需的溫度。基 材支撐架112上的基材接收面114尺寸大致要可接收基 材110的背面,使其可均勻加熱於基材11〇。均勻加熱基 材是提供製程一致性的要素之一,特別在沈積速率 為溫度的函數時之沈積製程。 流體入口如喷嘴123通常位在沈積室内,用來傳輸 流體至基材110表面,流體可為化學製程溶液、去離子 水、和\或酸性溶液。喷嘴123可位在基材110上方之 中央,傳輸流體至基材110中央;亦可在其他任何位置。 喷嘴123亦可配置於支臂122上,其在製程區間1〇2中, 穿過側壁1〇6或在頂部104的上方。支臂122可藉旋轉 支撐體(rotatable support member) 121的軸承運作來作移 16 200302295 動,故支臂122與喷嘴123可來回於基材11〇中央。此 外,噴嘴I23 (未標明於圖中)亦可配置在沈、積室1〇〇 的頂部104或側壁106,其適用在喷灑任一期望圖形於基 材110上。 單一或多重流體供應源128a-f(集合稱為“流體供應 源fluid sources”)可與喷嘴123相連。閥129位在流體供 應源128與喷嘴123間,作為不同型態之流體供應。流體 供應源128依據特定製程可提供去離子水、酸鹼溶液、鹽 性溶液、貴金屬/四族金屬溶液(如鈀與錫溶液)、半貴 鲁 金屬/四族金屬溶液(如鈷與錫溶液)、貴金屬溶液、半 貝金屬溶液、四族金屬溶液、銅溶液、還原劑溶液、與 以上之組合溶液。化學製程溶液最好能在基材11〇製程過 私中需要使用時才混合;此乃因化學製程溶液通常不穩 定,使用點(p〇int-0f-use)傳送可防止其失去反應性。使用 點(pomt-of-use)傳送亦可防止溶液過早沈積於沈積室或 μ體傳輸系統。例如將含錫與鈀之溶液由流體供應源 128a配送時,錫與鈀溶液在流體供應源128a配送前即已 · 混合在一起。 採用可估量流體的閥129配送流體至基材上,可減少 化车如的浪費,因為有些化學製程溶液價格非常昂貴或 難以配置。一具體例中,介於流體供應源128與喷嘴123 間的流體路徑是可加熱的,其主要欲使流體傳送至基材 … 時能維持在一特定的溫度下。 沈積室100亦包含一排出口(drain)127來收集或排出 17 200302295 沈積室100内使用過的流體。製程區間1〇2的底部1〇7包含 -傾斜表面,用來幫助流體流人—與排出口 127相連的 狀溝槽,且可保護基材支撐元件113不與流體接觸。 體例中,排出口 127配有1收沈積室喊料之流體^ 裝置。例如排出口 127可結合一再生裝置149,使流體(如 無電極式沈積溶液),可在製程過程中再度循環利用。 流體管線結合了流體供應源128、排出口 127、與再 生裝置149,為減少顆粒的產生,會利用流體作清洗。例 如流體官線可能在每一片或每兩片晶圓製程完成後作清 洗。 月 在具體例中,基材支撐架112亦可作旋轉,其轉速隨 特定製程(如沈積、清洗、乾燥)而變化。當沈積時, 基材支撐架112會依流體黏度採相對低速旋轉,如介於 lORPMs至500RPMs間;此時,流體會再靠慣性力分散在 基材110表面上。在清洗時,基材支撐架U2會採相對中 速旋轉,如介於l〇〇RPMs至500RPMS間。在乾燥時,基材 支撐架112會採相對快速旋轉,如介於5〇〇RpMs至 2000RPMs間。基材支撐架112可在任一方向前後旋轉, 以幫助流體均勻的分散在基材11 〇表面。在具體例中,為 增加流體於基材11 〇的覆蓋,支臂122在配送流體時可採 移動式。最好喷嘴在配送流體時,基材支撐架112能同時 轉動以增加系統的產量。 基材支撐架112可包括一與真空源125相連的真空埠 124,其可提供基材11〇背面真空吸附於基材支撐架上。 18 200302295 真空溝紋126位在基材支撐架112上與真空埠124相連,可 使整個基材110背面獲得更均勻的真空壓力。一方面,真 空盤(vacuum chuck)可促進基材11〇與基材支撐架112間 的熱傳輸;且基材支撐架在旋轉時其可吸住基材11()。 圖3 A所示為圖2具體例中基材支撐架112邊緣部分的 剖面圖。基材支撐架112包含一流體排放口 132於基材接 收面114的邊緣,其提供基材上方流體之排放路徑。流體 排放口 132會與排廢埠50相連,以排出源於基材支撐架 112上的流體。具體例中,流體排放口 ι32位在基材支撐 架112上’故基材邊緣會高於流體排放口 132。此時,需 配置一彈性封口 134a-b於基材支撐架112的邊緣,為防止 真空溝紋126失去真空壓力及流體滲入晶圓背面。具體例 中,彈性封口 134a為一環狀杯形吸盤,其中一邊⑺叩)I% 會被基材110所壓縮。或者,彈性封口 134b亦可類似於〇 形環狀管。如果兩種彈性封口 134均使用,則在基材支撐 架112上,彈性封口 134a會成輻射狀的排列在彈性封口 134b之内側。另一彈性封口 134會位於基材支撐架112上 之縫隙120周圍,此可防止真空溝紋126因縫隙12〇而失去 真空壓力。 圖3B所示為圖2具體例中,另一基材支撐架112邊緣 部分的剖面圖。基材支撐架112包含一成輻射狀排列在流 體排放口 132内側的氣體排出口丨3〇,其主要提供清潔用 氣體(如氮氣或其他氣體)於基材11〇背面的邊緣。氣體 源或氣體入口(未標明於圖中)會與氣體排出口 13〇相連 200302295 以提供清洗氣體。通道133位在基材支撐架112上,其將 氣體排出口 130與流體排放口 132相連,並使清洗氣體直 接由氣體排出口 130呈放射方向流入流體排放口 132,如 箭頭131所示。清洗氣體防止流體流入晶圓背面且幫助流 體流入流體排放口 132。在基材支撐架112上,還包含一 彈性封口 134c輻射排列在氣體排出口 130内側,防止真空 溝紋126失去真空壓力及流體滲入基材110背面。 圖3C所示為圖2具體例中,另一基材支撐架112邊緣 部分的剖面圖。在替代或結合通道133下,在基材支撐架 112上’至少需一彈性封口 134(1介於氣體排出口 13〇與流 體排放口 132間。氣體排出口 13〇會提供一正向壓以防流 體滲入彈性封口 134d。基材110由基材支撐架傳出時,氣 體排出口 13 0會提供一溢出之氣流於基材11 〇背面的邊緣 以防流體流入基材11 〇背面。此外,在製程過程中,氣體 排出口 130還會提供真空壓力使基材U0邊緣獲得更好的 真空吸附。 圖3D所示為圖2具體例中,另一基材支撐架I〗]邊緣 部分的剖面圖。基材支撐架112至少包含一彈性封口 134e 於基材接收面114的邊緣,以防止真空溝紋126失去真空 壓力及流體滲入基材110背面。基材支撐架U2包含一突 起邊緣52使製程流體54集中於基材11〇與基材支撐架112 上。具體例中’基材支撐架112採可旋轉式,此時利用製 程流體54的慣性力,可將其由基材11〇與基材支撐架112 上移除。 200302295 這些描述在圖3A-3D中的“流體封口(fiuid seals),, 可防止化學製程溶液沈積在基材110背面。此外,如果流 體或化學製程溶液經真空溝紋126流入真空埠124,則其 會使真空源損毀或阻塞。 沈積室更包含一與基材相連之電源供應器,以提供 一偏壓至基材。圖4為連接基材110導電部分之電源供應 器60圖示。電源供應器60的極點利用電接點(electrical contact)62與基材相連(即導電銅種晶層22 )。電接點 (electrical contact)62可能為一接觸環,其詳述於申請中 之美國專利09/289,074 “電化學沈積系統,,建檔於1999 年四月8日’其完全作為本發明的參考。電源供應器6〇的 另一極點則和在基材110上與流體66接觸的電極64相 連。流體封口 68與基材11〇接觸,用來將電接點(electdcal contact)62與電極64隔離。 圖5所示為另一具體例,使用圖2之沈積室ι〇〇加上一 位在基材接收面114上的蒸發屏138,蒸發屏138的尺寸可 覆盍整個基材11 〇以防止基材丨丨〇上的流體(如化學製程 溶液)蒸發。具體例中,若觸媒層與導電金屬層利用不 同沈積室沈積,則無電極沈積觸媒層的沈積室將不需蒸 發屏,然而無電極沈積導電金屬層的沈積室則需要蒸發 屏。一些無電極沈積觸媒層中,因為沈積在相對低溫與 相對短時間,且沈積層屬相對薄層,所以蒸發流體層對 觸媒沈積不會有不利得影響。然而在其他具體例中,若 觸媒層與導電金屬層利用不同沈積室沈積,兩者之無電 21 200302295 極沈積室仍有蒸氣屏。 具體例中,蒸發屏138與基材支撐架112均可上下移 動,使基材110可在基材接收面114上來回傳送。具體例 中,蒸發屏138上的流體入口如流體埠144與單一或多重 流體供應源128相連,作為不同型態之流體供應。閥129 連接於流體供應源128與流體埠144間。化學製程溶液最 好能在基材110製程過程中需要使用時才混合或準備;因 化學製程溶液通常不穩定,這種使用點(p〇int-〇f_use)傳送 可防止溶液失去反應性。使用點(p〇int-〇f_use)傳送亦可防 止溶液過早沈積於沈積室或流體傳輸系統中。閥129採用 可估量流體配送至基材上,可減少化學品的浪費,因為 有些化學製程溶液價格非常昂貴或難以配置。'具體例 中5介於流體供應源128與流體埠丨44間的流體路徑是可 加熱的,其主要欲使流體傳送至基材時能維持在一特定 的溫度下。 具體例中,蒸發屏138可獨自或結合基材支撐架 一同加熱基材上的流體。蒸發屏138由一嵌入於蒸發屏 138的加熱元件或散佈於蒸發屏138的熱流體來加熱。或 者’蒸發屏138用熱燈絲加熱。 具體例中,蒸發屏138包括高分子(如聚乙稀、二氣 化樹脂)、陶竞(如礬土)'石英、或塗怖金屬(如 TEFLONTM塗怖金屬)之材料。#蒸發屏138包括以下所 述之除氣膜時,則其最好為高分子組成。 圖6所示為圖5具體例中,蒸發屏138在基材支撐架 22 200302295 112邊緣部分的示意圖。蒸發屏138位在基材no上方,故 有一空隙137存在於蒸發屏底部至基材^10間。具體例 中’流體會在空隙13 7間形成一流層140,其底部與基材 相接頂端與蒸發屏138相接。若蒸發屏138離基材接收面 114太遠,流層140無法與蒸發屏138底部相接,流體即會 凝結在蒸發屏138上,此時,介於基材11〇與蒸發屏138之 流體位置亦變的難以控制。蒸發屏138上的凝結會造成流
體由蒸發屏138滴落,而濺灑在基材上影響基材11〇表面 製程的均勻度。具體例中,介在蒸發屏138與基材11〇的 空隙137約在〇·5釐米至4釐米間。因此對一 3〇〇釐米的基材 110而吕,流層140的體積(基材面積乘空隙厚度)約為 大小。具體例中,流體埠144或排出口(心㈣可移除或回 收基材上的流體’以方便流體的處理或再利用。例如, 流體埠U4可與-再生裝置149結合,使流體(如無電極 沈積溶液)可在製程過程巾再度循環利用。
35¾升至285¾升。相同地,對一 2〇〇釐米的基材u〇而 吕,流層140的體積約為15毫升至13()毫升。另一具體例 中,条發屏138底部大致與基材平行而配置在基材接收面 114上,其可提供一厚度大致均勻的流層於基材ιι〇上 方。在具體例中,蒸發屏138與基材支撐架112均可上下 私動以凋整介在蒸發屏138與基材支撐架112的空隙137 、、毛屏138亦可包括一除氣膜141作為與流層14〇接 觸之底部表面。除氣膜是由可讓氣體而非流體通過的透 亂材枓製成。#中—透氣材料為疏水性透氣高分子薄 23 200302295 膜。結果造成在流層140中的氣體(如在銅之無電極沈積 中產生的溶解氫氣或限制(trapped)氣泡)可藉由除氣膜 141的氣體交換作移除。具體例中,除氣膜141裝置在蒸 發屏138底部的薄膜支撐架143上。薄膜支撐架143是由多 孔性尚分子支架構成。一方面,由於蒸發屏的除氣膜141 可移走足量流層140中氣體,故不需將觸媒層和/或無電 極沈積導電層再做回火(將於以下詳述)處理。蒸發屏 138内部亦包含一填充物146 (顯示於圖5中),其可使氣 體經由流層140穿過除氣膜141進入蒸發屏138。一方面, 填充物146中的真空壓力或可控之特定氣體低分壓可由 蒸發屏138的填充埠148 (顯示於圖5中)提供,故可促進 在流層140與除氣膜141間的氣體交換。 圖7所示為另一使用封口 142之蒸發屏138的具體 例封口 142與蒸發屏13 8的邊緣或/和基材支撐架112的 邊緣部分相連。由於封口 142已被尺寸化,故其可維持在 基材接收面114上介於蒸發屏138與基材11〇間的空隙137 大小。封口 142可更進一步防止基材11〇上流體的蒸發。 具體例中,蒸發屏138可旋乾自身。另一具體例中,蒸發 屏138和/或基材支撐架112可旋轉來促使介於蒸發屏 138與基材110間的流層14〇混合。例如,當基材支撐架 轉動時,洛發屏138不動;當基材支撐架112不動時,蒸 發屏138轉動;和/或蒸發屏138與基材支撐架ιΐ2同時於 同相或反向轉動,均可混合流層14G。具體例中,蒸發屏 138與基材支撐架112 一起在任一方向來回旋轉,可^成 24 200302295 動里的改變而幫助流層140中的混合。若蒸發屏i38與基 材支架112間多-封口 142,則蒸發屏138與基材支撐架 最好能一起旋轉來混合流層,才能妨止蒸發屏us表 面與基材支撐架112因封口 142摩擦產生顆粒。 蒸發屏138底部表面可再包括一流體攪拌元件145幫 助流層混合,如溝槽、裂縫、或突出物(圖6與7)。溝槽、 裂縫、或突出物可為任何圖形,如放射狀、或陣列排於 蒸發屏138底部表面。蒸發屏138可包括一換能器147 (圖 6與7)提供一由低千赫頻至超高音頻之聲波,以幫助在 鲁 基材110上的流層140震動。換能器147位在蒸發屏138反 方’故聲波需經由連接的蒸發屏13 8傳至流層。另一選擇 為換能器147a (圖5)包含一棒竿147b (圖5),其可與流 層接觸提供聲波。例如,換能器147a (圖5)包含一中空 棒竿於蒸發屏138的流體埠144上,其延伸至流層14〇以提 供流層140聲波。具體例中,換能器147提供一低千赫頻 率可防止流層140内氣泡之空餘(cavitatj〇n)。流層“ο内 氣泡空钱(cavitation)對基材製程會有不利且不可測的影 · 響。換能器(未標於圖中)亦可與基材支撐架U2相連。 圖8所示為一具體例使用無電極沈積室15〇沈積觸媒 層與/或導電材料層的剖面圖。一些沈積室15〇的零件與 先前所述沈積室100的零件相同或相似。相應地,如編號 已用於適當位置。沈積室150包含一基材支撐架152,其 上有一基材接受面154用來接收面朝上的基材151。基材 支撐架152可再包含一與摺箱159相連的真空埠156,用以 25 200302295 提供真空於基材背面,使基材151可真空吸附於基材支撐 架152上。真空溝紋158位在基材支撐架152上與真空埠 156相連,可使整個基材151背面獲得更均勻的真空壓 力。當摺箱159膨脹時,真空產生而使基材151吸附在基 材支撐架152上。當摺箱159收縮時,真空釋出而使基材 151可由基材支撐架152上移出。一方面,基材支撐架152 並不需要如圖3所示的氣體排出口與流體排出口 (drain),因流體進入摺箱159時,並不會影響摺箱159的 簡單機械操作,且僅會有固定量的流體流入摺箱159中。 圖9所示為一具體例使用無電極沈積室i 6〇沈積觸媒 層與/或導電材料層的剖面圖。沈積室16〇包含一基材支 撐架162,其上有一基材接受面164用來接收面朝上的基 材161。沈積至160可再包含一夾環(ciamp ring)丨66,將基 材161固定於基材支撑架162上。一方面,夾環(ciamp ring) 166改善了在基材161與被加熱之基材支撐62間 的熱傳送。另一方面,在基材支撐架162轉動時,夾環 (clamp ring)166可固定住基材。另一方面,在製程時利用 夾環(clamp dng)l66的厚度能形成流體池168於基材μ] 表面。沈積室160可再包含一可移動蓋169,位在夾環 (damp ring)166的上方,其可減少在基材161上流體的^ 發。流體人口 58與可移動蓋169連接,提供流體於基^ 161。流體人口 58通常採用小孔道以減少流體池⑽ 發。
具體例中’圖2-9中的益雷於;+ A 丫扪無電極沉積室在製程時,可 26 200302295 採多層沉積室以幫助再生流體的使用。圖ίο所示為一具 體例使用多層沈積室2200剖面圖。一般多層沈積室2200 包含一基材支撐體2204與一提供溶液的溶液入口 2240 ;在製程時,溶液由基材2202上方或與基材表面同 向流入。多層沈積室 2200有一由隔間蓋(enclosure lid)2102、隔間側壁2104、隔間底部2106圍成的隔間室 2100。隔間側壁2104包含一將基材傳送於多層沈積室 2200内外的通道2280,與一能密封通道2280的閘閥(gate vaWe)2282。多層沈積室2200亦可選擇性的包括蒸發屏 /蓋2230於多層沈積室2200的上方部分。蒸發屏/蓋 2230可採旋轉式。 在操作上,藉由自動機械片1088經由通道2280至基 材支撐體2204上,傳送基材2202至多層沈積室2200内。 當基材2202位在基材支撐體2204上方時,舉升栓平台(lift pins platform)2320會升起。此時,基材2202會被位在舉 升栓平台(lift pins platform)2320上的舉升栓(lift pins)2272舉起至高於自動機械片1088。之後,自動機械 片1088會縮回多層沈積室22〇0外,閘閥(gate valve)2282 會關閉以封住製程環境。舉升栓平台(lift Pins platform)2320會降下舉升栓(lift pins)2272使基材 2202位 在基材支撐面2206上。真空夾盤將基材2202固定於基材 支撐面2206上,流體封口 2298將基材2202背面封住以防 製程化學物流入。真空幫浦或/和氣體幫浦/提供源可 與基材支撐體2204相連結。例如,真空幫浦可提供一真 27 200302295 空以吸住基材2202於基材支撐體2204。例外,氣體幫浦 /提供源可提供清洗氣體於基材^2202的邊緣。 基材支撐體2204利用馬達將其舉升至製程位置,此 時基材2202位在上抓杯(catch-up cup)2246上方。具體例 中,基材2202與蒸發屏/蓋2230位置相近。或者,蒸發 屏/蓋2230可接近或遠離基材。上抓杯2246是由多層沈 積室2200的隔間側壁2104内部延伸出的結構。在製程位 置上,溶液由隔間蓋(enclosure lid)2102的溶液入口 2240 抽至基材表面。溶液流至基材表面後,上抓杯(catch-up cup)2246開始收集溶液。之後,溶液經流體排出口 2244 由排出口 2258抽出多層沈積室2200。溶液透過電解液槽 1094再利用且可藉溶液入口 2240作再循環。溶液亦可能 被排出丟棄。 製程完成後,基材2202會降至一低於喷水孔2260且 高於洗滌抓杯(rinse catch cap)2264的水平平面之洗滌位 置。喷水孔2260會噴灑洗滌劑在基材2202上。洗滌劑透 過洗滌排出口(rinse drain)排至多層沈積室2200底部,再 經由排出口(〇utlet)2259抽出多層沈積室2200底部流入洗 滌劑儲存槽1096。基材支撐體2204亦可選擇性地轉動以 旋乾基材2202。淨化器1194、1196與排出口 2258與2259 相連,其可收集或回收昂貴組成(如:Pd、Sn等)或對 環境不利的組成(如·金屬、複合劑專)。 檔板(未標於圖示上)在多層沈積室2200中用來隔 絕特定區域。例如,檔板位在蒸發屏/蓋2230的下方, 28 200302295 可控制殘留在蒸發屏/蓋2230的溶液滴入基材2202上。 另一例子,檔板位在上抓杯2246上方,防止由喷水孔2260 喷灑洗滌劑灑入上抓杯2246中。或者,蒸發屏/蓋2230 可被移走以防止殘留在蒸發屏/蓋2230的溶液滴入基材 2202上。一示範多層沈積室2200已詳述於申請中之美國 專利序號09/294,240 “面朝上製程之單一半導體基材之 電化學沈積室(Electro-Chemical Deposition Cell For Face-Up Processing of Single Semiconductor Substrates)” ,建標於1999年4月19日,此專利可合併做 本發明之延伸參考而非相互矛盾。 圖11所示為另一具體例之沈積室170剖面圖,其用來 沈積觸媒層與/或導電材料層。沈積室170包含基材支撐 架(holde〇172,並具有一基材接收面174接受“面朝下” 之基材171。基材支撐架(holde〇172能加熱基材171,使 其維持在一特定的溫度。基材支撐架(holder)172上的基 材接收面174尺寸大致可接收基材171的背面,使基材171 可均勻加熱。基材支撐架(holder)172可包括一與真空源 183相連的真空埠173,其可提供基材171背面真空吸附於 基材支撐架(holder) 172上。基材支撐架(holder) 172亦可再 包含一真空封口 181與液體封口 182,以防止流體流向基 材171背面而進入真空埠173内。沈積室170還包含一具流 體入口(如流體埠177)的盤176。流體埠177可與流體源 178a-c和/或氣體源180連接。 基材支撐架(holder)172可再與基材支撐架配件 (assembly)相連,以升降基材支撐架(holder) 172。具體例 29 200302295 中,基材支撐架配件(assembly)可將基材171浸入浴池 中。在其他具體例中,基材支撐架配件可提供一介於基 、 材171與盤176間的空隙(gap)。流體源178經由流體埠177 提供流體以填充流層至基材171與盤176間的空隙(gap)。 基材支撐架配件(assembly)可使基材支撐架(h〇lder)172 方疋轉以揽拌流層。基材支撐架(h〇ider)i72與/或盤176包 合換旎器184,其提供如超音頻或百萬音頻之聲波,以幫 助基材171上的流層攪動。基材支撐架(h〇lder)172還可提 供震動波以幫助流層授動。—方面,攪動層流可防止被 # 限制住或製程產生的氣泡影響沈積製程。例如,攪動層 流可移除停滞在基材ηι表面的氣泡。 盤176可包含一加熱器以加熱流層至預期溫度。在製 私το成後,流體經由排出口(drain)或流體埠177拉回流體 回收槽179,這可使再生之流體再利用於其他基材製程。 氣體源180可提供流動於基材m表面的氣體,如氮氣。 基材支撐架配件(asseml3iy)可轉動基材支揮架 (holder)172以旋乾基材171。沈積室17〇可再包含一可伸 籲 縮壞〇1〇(^)175,在基材來回於沈積室時固定基材17卜例 如’可伸縮環(h00p)i75可由兩個不完全的環組成(如c 形環)。當兩環移動至相連時,即可接收基材171。當兩 環分開時,基材支撐架(h〇lder)172會下降而接近盤176。 圖12所示為另一具體例之沈積室190剖面圖,其用來 沈積觸媒層與/或導電材料層。沈積室19〇包含一下盤 191與上盤192。下盤191用作固定面朝上或面朝下之基材 30 200302295 193。上盤192可上下移動,將基材由沈積室19〇移出或移 入。上盤192可移動至與下盤191接觸。封口 194位在上盤 192與下盤191間,以密封在兩盤之間的流體。下盤191含 有一流體入口,如流體埠195,其與流體源196及流體回 收槽197相連。流體源196提供下盤191流體。具體例中, 流體填充於上盤192與下盤191間。下盤191與/或上盤 192可被加熱。在製程完成後,流體經由排出口(drain)或 流體埠195拉回流體回收槽197,這可使再生之流體再利 用於其他基材製程。具體例中,沈積室190並無吸盤機械 裝置設計。沈積室190對於無電極式銅導電層有利,因銅 之無電極沈積主要發生在觸媒層或金屬表面。 圖2-12的沈積室可用在200釐米、3〇〇釐米、或其他 尺寸基材的製程上。以上所示之沈積室均為單一基材製 私。然而,沈積室亦可採用批次製程。沈積室可採一次 使用流體或再循環流體,循環流體可使用於數片基材後 再丟棄。例如在具體例中,可循環流體的沈積室内,利 用排放口(drain)可將特定流體選擇性的分出,使其在製 程中再利用。如果沈積室可循環流體,則在流體管線中 應可洗滌以防沈積物堵塞管線。雖然上述具體例中的沈 積室均含有特定的元件與特徵,但需瞭解到沈積室亦可 由來自不同具體例中的特定元件與特徵所組成。 沈積觸媒層與/或導電金屬層的製程可能包含一在 熱回火室的基材回火。熱回火室大致已發展至極致;快 速熱回火室已廣泛利用在基材製程系統上,以加強沈積 31 200302295 材料的特質。此發明考慮利用各種熱回火室設計以加強 無電極沈積的結果,如加熱板(hot plate)設計、熱燈(heat lamp)設計、與爐管設計。一獨特的爐管設計使用加熱氣 體於爐管室的熱區以回火基材。爐管室還包含一冷區。 基材藉由傳送放置於爐管室冷區的舉升栓(lift pins)上。 基材之後再藉由舉升栓(lift pins)上升至熱區作回火。然 後,基材降回至冷區作冷卻。 此項發明的獨特熱回火室:xZTM室由美商應用材料 公司(AppHed Materials,Inc. of SantaClara,California) 所提供。圖13所示為一快速熱回火室具體例之剖面圖。 快速熱回火室(RTA)900定義出一隔間902,且包含一加熱 板904、加熱器907、與數根基材支撐栓906。隔間902由 基底908、側壁910、與頂部912所圍成。冷卻板儘量放置 在隔間頂部912的下方。而反射絕緣盤914儘量放置在隔 間902内側的基底908上。反射絕緣盤914通常使用耐高溫 (如高於500C)材料,其扮演加熱器907與隔間902間的 熱絕緣體。反射絕緣盤914表面亦會塗怖上一層反射材 料,如金,以使熱可導回加熱板904。 加熱板904與系統製程中的基材相比儘量能擁有較 大的質量,且其較佳是由碳化矽、石英、或不與RTA室900 内的任何氣體或基材材料反應的材料所構成。加熱器907 由抗熱元素或熱傳導源\輻射體所構成,其位於加熱板 904與反射絕緣盤914之間。加熱器907與提供加熱器907 能源的電源916相連。電熱偶920位在管線922内,經由基 200302295 底908與反射絕緣盤914延伸至加熱板904。電熱偶920與 控制器相連接(系統控制器將於以下陳述),其提供控制 器溫度量測值。控制器將依據溫度量測與期望之回火溫 度來控制加熱器907所需提供的熱。 隔間902還包含冷卻元件(cool member)918,其位在 隔間902外圍以熱接觸方式與側壁910相接觸藉以冷卻隔 間902。冷卻盤913位在頂部912内側表面用以冷卻接近冷 卻盤913的基材。 RTA室900包含一位在側壁910的狹縫閥(slit valve)922,以便利承載站的機械傳送基材進出RTA室 900。狹縫閥(slit valve)922選擇性地封住位在隔間側壁 910的通口(opening),而通口(opening)可與承載站相聯 絡。 基材支撐栓906包含末端逐漸縮小的耐高溫配件。每 個基材支撐栓906均由加熱板904所延伸出的耐高溫、抗 氧化的管狀線路所包圍。舉升盤928與基材支撐栓906相 連可使基材支撐栓906均勻的移動。舉升盤928透過舉升 軸932依附於傳動裝置930上,如階梯式馬達(stepper motor);舉升軸932可移動舉升盤928使基材位在RTA室 900内任意一重直位置。舉升軸932由隔間902的基底908 延伸而出,由密封凸緣(sealing Hange)將舉升軸的周圍封 住。 在傳送基材進入RTA室900的過程,首先閥(slit valve)922打開,透過通口(opening)924,承載站的傳送機 33 200302295 械延伸承載基材的機械片至RTA室900内。機械片將基材 放置在加熱盤904上方,基材支撐栓906向上延伸將基材 舉至高於機械片。之後,機械片縮回RTA室外而狹縫閥 (slit valve)922接著關上。基材支撐栓906降低至使基材與 加熱盤904的距離在期望位置上。基材支撐栓906可選擇 調整至基材與加熱盤904直接接觸。 氣體入口(丨1116〇936穿過隔間902側壁910可將選定的 氣體在回火處理過程中匯入RTA室900。氣體入口 (inlet)936透過可控制流體進入RTA室900的閥940與氣體 源938相連。氣體排出口(〇utlet)942最好是位在隔間902 側壁910的低處以排出在RTA室的氣體,且較佳是與止逆 閥(relief/check valve)944相連以防室外氣體回流。在回火 處理時,氣體排出口(〇utlet)942選擇性地與真空幫浦(未 顯示於圖中)相連以使RTA室900達到真空水準。RTA室 更進一步詳述在申請中之美國專利序號09/263,126 “具 熱回火能力之銅化電化學沈積裝置 (Apparatus of Electro Chemical Deposition of Copper Metallization with the Capability of In-Situ Thermal Annealing)” , 圖14所示為一無電極沉積系統平台具體例之上視 圖,其利用無電極沉積觸媒層與導電材料層。無電極沉 積系統平台200擁有一沈積室,其詳述在申請中之美國專 利序號09/289,074 “電化學沈積系統(Electro-Chemical Deposition System)” ,建標於1999年4月8日,與另一申 請中之美國專利序號09/263,126 “具熱回火能力之銅化 電化學沈積裝置(Apparatus of Electro Chemical 34 200302295
Deposition of Copper Metallization with the Capability of In-Situ Thermal Annealing)”,建檔於 1999年 3 月 i 日,此 二項專利可合併做本發明之延伸參考而非相互矛盾。 無電極沉積系統平台200—般包含一承載站210、一 熱回火室211、一主體(mainframe)214、與一電解液補充 系統220。主體(mainframe)214 —般包含主體傳送站 (mainframe transfer station)216、旋轉洗滌乾燥(SRD)站、 與六個程序室240。主體(mainframe)214包含一可支撐各 種完成沈積程序所需站點的基底217。電解液補充系統 220位在主體(mainframe)214旁,並與每個程序室240個別 連接以循環無電極沈積的電解液。無電極沉積系統平台 200還包含一電源供應站221以提供電力於系統與含可程 式微處理器的控制系統222。 承載站210通常包括一至多個基材卡匣接收區224、 一至多個承載站傳送機械228、與至少一個基材方位調正 器230。在承載站210,基材卡匣接收區224、承載站傳送 機械228、與基材方位調正器230的數目是根據系統的產 能而決定。在所示的具體例中,承載站210含有兩個基材 卡匣接收區224、兩個承載站傳送機械228、與一個基材 方位調正器230。含有基材的卡匣232載入基材卡匣接收 區224時,基材234即被引入無電極沈積系統平台。承載 站傳送機械228將基材234在基材卡匣232與基材方位調 正器230間作傳送。承載站傳送機械228包含一已在許多 文章所提過的機械裝置。基材方位調正器230會將每片基 材234調整到所期望的方向以確保基材能得到適當的製 35 200302295 程程序。承載站傳送機械228亦能將基材234傳送在承載 站210與SRD站212間及承載站210與熱回火室211間。承載^ 站210亦包含一基材暫存區卡匣23丨,以便有效傳送基材 於系統中。 主體傳送機械242位在主體214的中央,其作用為傳 送基材在附屬於主體的不同站點間,包括程序站與srd 站。主體傳送機械242包含多個機械手臂24〇4且對應於其 他手臂可獨立移動。主體傳送機械242能傳送基材在附屬 於主體的不同站點間。 快速熱回火(RTA)室211儘量與承載站21〇相連,並能 藉由承載站傳送機械228將基材來回傳送於RTA室211。依 據承載站210的對稱設計,無電極沈積系統最好能有兩個 RTA室211位在承載站210的兩側。 SRD站212包含一或多個srd模組236與一或多個基 材通道卡匣238。SRD模組236數目依據承載站傳送機械 228數決定,通常為兩個。而基材通道卡匣238則位在每 個SRD模組236上方,以便於基材在承載站21〇與主體 間傳送。基材通道卡匣238提供承載站傳送機械228與主 體傳送機械242來回進出。 在無電極沈積具體例中,六個程序室24〇包含兩個無 電極觸媒層沈積室(參考如圖2_12之沈積室)與四個無 電極導電材料層沈積室(參考如圖2_12之沈積室)。在另 一具體例中,六個程序室240包含六個可沈積觸媒層與導 電材料層的雙功能無電極室(參考如圖2_12之沈積室 36 200302295 另一具體例中,六個程序室240中至少包含一個沈積導電 材料層的電鍍室。例如,系統中包含兩個沈積觸媒層的 無電極沈積室(參考如圖2-12之沈積室)、兩個沈積導電 材料層的無電極沈積室(參考如圖2_12之沈積室)、與兩 個沈積導電材料層的電鍍室。或者,系統包含四個可沈 積觸媒層與導電材料層的雙功能無電極沈積室與兩個沈 積導電材料層的電鍍室。
圖15所示為另一無電極沉積系統平台3〇〇具體例之 上視圖,可沉積觸媒層與導電材料層。無電極沉積系統 平台30G通常包含卡E3G2、—沈積觸媒層的無電極室3〇4 (參考如圖2·12之沈積室)、—沈積導電材料的無電極沈 積室306 (參考如圖2_12之沈積室)、一sre^3〇8、與一 回火室31G (參考如圖13之回火室)。—或多個傳送機械 312位在平台_中央,用來傳送基材於不同室間及來回 於卡匣302間。
另-無電極沉積系統平台具體命】(未以圖形顯示) 用以沈積觸媒層與導電材料層。平台包含兩個沈積觸媒 1的無電極沈積室、四個沈積導電材料層的無電極沈積 室、與四個沈積導電材料層的電鍍室。 無電極沈積觸媒層的方法 在此所述的室與平台可提供多種製程使用。製㈣ 例將在此敘述。-具體例中,觸媒層之無電極沈積包^ 基材結構與-無電極沈積水溶液接n容液包含⑴ 金屬離子、半責金屬離子、或以上之組合,和2)四族^ 37 200302295 屬離子如錫離子。另-具體例中,觸媒層之無電極沈積 包含基材結構與含四族金屬離子的無電極沈積水溶液 (如錫離子)接觸,之後基材結構再與含貴金屬、半貴 金屬、或以上組合之無電極沈積水溶液接觸。貴金屬包 括金、銀、鉑、鈀、銥、銖、汞、釕、與餓。其中現行 方法使用之貴金屬較佳是含飽、翻、或更佳是含銘的貴 金屬。半貴金屬包括鐵、鈷、鎳、銅、碳、鋁、和鎢。 此項發明使用之半貴金屬較佳含钻、#、或鎢。四族金 屬包^錫、鈦、與鍺。現行方法使用之四族金屬較佳是 鲁 含有錫。 貴金屬/半貴金屬(在此所用之“貴金屬/半貴金 屬表不貴金屬和/或半貴金屬)與四族金屬加入無電 極沈積溶液中作為無機和/或有機鹽。被使用的鹽類例 子包含氯化物、溴化物、氟化物、氟硼酸鹽、碘化物、 硝酸鹽、與硫酸鹽。金屬鹽較佳包含氯化物,如氯化鈀 (PdC12)、氯翻酸(H2PtC16)、與氯化錫(SnC12)。 一具體例中’無電極沈積使用之四族金屬離子對貴 鲁 金屬/半貴金屬離子的比例(如如對%的比)約介於Μ 至40:1間’無論四族金屬離子與貴金屬/半貴金屬離子為 分開或一起沈積為膠質。在沈積觸媒層時,無電極沈積 溶液較佳為酸性。使用的酸可包含鹽酸(HC1)、硫酸 (H2S04)、版蝴酸(HBF4)、碘酸(HI)、與乙酸(CH3c〇〇H); 而較it疋使用鹽酸(hci)。沈積觸媒層的無電極沈積溶液 亦可含其他添加劑,例如表面活化劑或濕潤劑。一具體 38 200302295 例中,沈積觸媒層的無電極沈積溶液的初始pH值低於或 等於1。一特殊具體例中,沈積觸媒層的無電極沈積溶液 包含約介於0.3g/L至1.4g/L的鈀、約介於15g/L至60g/L或 較佳是約介於25至30g/L的錫、與體積約為20%至60%而 較佳為30°/。至40%的強酸如鹽酸。一模範沈積觸媒層之無 電極沈積溶液由Enthone-OMI Inc.提供,其位在West Haven,CT 〇 具體例中’觸媒層之無電極沈積方法包含基材結 構與一無電極沈積水溶液接觸。水溶液包含貴金屬離子 和/或半貴金屬離子和四族金屬離子,其反應溫度約介 於20 C至150°C間。對沈積與錫,反應溫度較佳約在 20。0:至80〇C,而溫度約在4〇〇c^6〇cC更佳。在無電極沈 積時,使用之溶液量依據所使用的無電極沈積裝置與製 程基材尺寸決定。-具體例中’使用約介於3ml至200ml 的無電極沈積溶液在細m_晶圓上。反應溫度表示溶 液溫度和/或基材溫度,因為反應溫度可由加数溶液、 加熱基材、或同時加熱基材與溶液來提供。基材與無電 極沈積溶液接觸的時間可能會變化。例如:當無電極沈 容液為高濃度之貴金屬離子/半貴金屬離子和四族金 屬離子時,高溫下僅需短時間 于间即了沈積觸媒層至所需的 無電極沈積溶液為低濃度之貴金屬離子/半貴 ^私金屬離子時,低溫下需長時 觸媒層至相同厚声。_目戚 積 ^ η* ^八祖例中,基材結構與無電極沈 積冷液接觸的時間至少為5秒’較佳約介於3〇至12〇秒 39 200302295 間。利用基材結構與無電極沈積溶液接觸的觸媒層沈積 至少需為單層分子薄膜。一具體例中,沈積的觸媒層厚 度約為5A至ιοοΑ間。 另一具體例中,觸媒層之無電極沈積方法包含基材 結構與無電極沈積水溶液接觸而形成。其中,貴金屬離 子/半貴金屬離子與四族金屬離子為分離的溶液。一觸 媒層之無電極沈積具體例中,首先基材結構先與含四族 金屬離子的無電極沈積溶液接觸,如錫離子,然後再與 含貝金屬離子、半貴金屬離子、或以上之組合的無電極 沈積溶液接觸。基材可在反應溫度約為20°C至150。C的範 圍間與含四族金屬離子的無電極沈積溶液接觸,在溫度 約為20 °C至50° C間較佳,而溫度為20至40C間最佳。一 具體例中,約3ml至200ml的無電極沈積溶液使用於 200mm的晶圓上。基材結構與四族金屬離子之無電極沈 積溶液接觸的時間至少為5秒,最好約介於3〇至12〇秒 間。之後,基材可選擇性地使用至少一種洗滌液清洗。 洗務液可包含去離子水、熱去離子水、腐钱劑(酸或驗 性溶液)、熱腐蝕劑、鹽性溶液、或熱鹽性溶液。之後, 基材再與含貴金屬離子、半貴金屬離子、或以上之組合 的無電極沈積溶液接觸,此時反應溫度約在2〇。c至15〇。 C間。對沈積鈀金屬,反應溫度較佳約在, 而溫度約在40°C至60C更佳。一具體例中,使用約介於3ml 至200ml的無電極沈積溶液在2〇〇mm的晶圓上。基材结構 與貴金屬離子、半貴金屬離子、或以上之組合之無電極 200302295 沈積溶液的接觸時間至少為5秒,較佳約介於3〇至12〇秒 間。利用基材結構與分離式的無電極沈積溶液接觸所形 成的觸媒層至少需為單層分子之貴金屬/半貴金屬與四 族金屬。一具體例中,貴金屬/半貴金屬與四族金屬的 總和厚度約為5A至ιοοΑ間。 在沈積觸媒層後,基材可使用至少一種的洗滌液清 洗。洗滌液可包含去離子水、熱去離子水、腐蝕劑(酸 或鹼性溶液)、熱腐蝕劑、鹽性溶液、或熱鹽性溶液。利 用熱去離子水時,溫度一般是介於一上下限間,其中溫 度下限約40°C至70°C而溫度上限約90°C至l〇〇°C。最佳 溫度範圍為4(TC至90°C間。此方法可能在利用去離子水 (如熱去離子水)洗滌後,再利用酸性溶液洗滌。一利 用酸性溶液洗滌基材的例子為體積約占5%至20%的強酸 溶液,如鹽酸。一般相信酸性溶液所生成的氫氧化錫更 容易被洗去。另一具體例中,相反地利用酸性溶液洗滌 後再加上去離子水(如熱去離子水)洗滌;其後,觸媒 層再以鹼性溶液清洗以備與鹼性之無電極沈積溶液沈積 導電金屬層。 在無電極沈積過程中,沈積觸媒層的方法包括施加 一偏壓(如直流偏壓)至基材結構的導電部分(亦即種 晶層)。一般相信在沈積過程中,偏壓可幫助移除限制在 觸媒層的氫氣。 此方法亦包含溫度約介在100°C至4〇〇°C的觸媒層 回火(亦即加熱),最佳溫度約為1〇{rc至3〇{Γ(:間。回火 200302295 通常在低於lmtoir的真空下進行。或者,回火在大氣壓下 進订,其氣體包含一或多種惰性氣體(如氬氣、氦氣)、 氮氣氫軋、或以上之混合物。一具體例中,回火時間 至少約1分鐘。另一具體例中,回火時間約丨至1〇分鐘。 回火較佳疋以快速熱回火製程處理。一般相信回火可促 進觸媒層在阻障層上、種晶層上、或基材結構上的附著 力,亦相k回火可幫助移除在製程過程中於觸媒層所形 成的氫氣。 —沈積觸媒層的方法可用無電極沈積室或以上所述沈 9 積室來實行。一具體例中,觸媒層的回火可利用無電極 沈積室或利用分開的回火室。另―具體例,洗㈣媒層 可利用無電極沈積室或利用分開的室實行。 ^若不受特殊定理限制,無論貴金屬/半貴金屬和四 昶金屬為一起或分開沈積,一般相信在觸媒層催化其後 的無電極沈積導電材料層(如銅層)的機制下,需要產 生貝金屬/半貴金屬和四族金屬之金屬錯合物(如鈀/錫 錯合物)。一般相信貴金屬/半貴金屬和四族金屬的錯人 物會在製程溶液中形成膠質,膠質的中心含較多的貴:· 屬/半貴金屬而外殼含四族金屬層,如錫層。外殼的黏 著性會使膠質附著在基材上。外殼的電荷使膠質聚集抑 制個別地膠質粒吸附在基材上。一般認為觸媒層沈積的 溫度可幫助控制沈積速率。若反應溫度太低,觸媒層的 沈積速率太低而造成經過系統的基材產量過低。若^應 /皿度太* ’則觸媒層的沈積速率快,沈積的觸媒層内合 42 200302295 有雜質引入。 再者’為了其後的無電極沈積之導電材料層,一般 認為金屬錯合物核心必須暴露於外。熱去離子洗務接著 酸性洗滌是一有效移去一些包圍在貴金屬/半貴金屬核 心外的四族金屬(如錫),而將核心暴露於外的方法。或 者’一般相信熱去離子洗滌接著酸性洗務可清洗掉再次 沈積的貴金屬/半貴金屬與四族金屬,而為其後的導電 材料層形成活性表面。 無電極沈積導電材料層的方法 導電材料層26 (如圖1A-1D)(如銅層)可沈積在觸 媒層24上(如圖1A—1D),其藉由基材結構與含有導電金 屬離子與還原劑的無電極沈積水溶液接觸形成。一具體 例中,無電極沈積銅之溶液中包含做為沈積銅來源的銅
鹽,如硫酸銅(CuS04)、氯化銅、碘化銅。因為酸鹼值^ 3.5以上時銅會傾向沈澱,故溶液可包含錯化劑或螯合濟 以形成金屬錯化物’防止氫氧化銅沈澱。錯化劑與螯4 劑的例子有tamte、EDTA、氨、胺基醋酸、中間^ ㈣出〇卜乙醇酸'與擰檬酸。溶液亦可包括還原劑則 原金屬離子。還原劑的例子有甲醛、乙醇酸、乙醛酸、 抗壞血酸、與次峨酸納。溶液中亦可包含酸驗調整劑。 酸驗調整劑的例子有氫氧化鈉、_、與氫氧化錄。溶液 還可包含安定劑,如硫料心、tM嶋、氰化物、五 乳化鈒、喊yl butynGi、與則b合物。溶液還包含 添加劑以提升沈積品f (㈣性)。添加劑 氛 43 200302295 納、五氧化飢、sodium aresenite、與聚乙二醇。其中, 主要成分間的典型化學反應可表示為:
Cu2+2HCH0+40H-Cu°(s)+H2(g)+2H20+2HC00- 一當觸媒表面存在時 反應中,兩電子被傳送給銅離子,使銅金屬沈積在 觸媒表面,此時副產物氫氣形成。 一方面,無電極沈積溶液會於使用點(p〇int-of-use) 作混合。例如,無電極沈積會分成兩種溶液。第一溶液 包含銅鹽、錯合劑、添加劑、與安定劑。第二溶液包含 還原劑、酸鹼調整劑。這兩種溶液在分送至基材前才混 合0 一模範溶液包含0.02莫耳/升至約0.4莫耳/升的硫 酸銅、0.04莫耳/升至約0.2莫耳/升的乙烯二胺四乙酸 (EDTA)作為錯化劑、0.45莫耳/升至約0.6莫耳/升的氫 氧化鈉以提供OH-使酸鹼值到達高於約11、與0.06莫耳/ 升至約1.0莫耳/升的曱醛(HCHO)作為還原劑。一具體例 中,溶液的酸鹼值被調整至大於約11。另一具體例中, 為解決在其後酸性電鍍槽的整合問題,無電極沈積溶液 被調配為酸性酸鹼值,此乃為其後之無電極沈積導電材 料上的電鍍導電材料。 一具體例中,基材結構與一無電極銅溶液接觸時, 反應溫度約介於20°C至100°C間,最好約在40°C至80°C 間。在無電極沈積時,使用之溶液量依據所使用的無電 極沈積裝置與製程基材的尺寸決定。一具體例中,在 44 200302295 200mm的晶圓上,使用溶液量約介於i〇mi至4〇〇mi。基材 結構與無電極銅溶液接觸的時間至少為5秒。若視為種晶、 層,沈積厚度小於50〇A的銅層,基材結構與無電極銅溶 液接觸的時間約介於45秒至120秒,其最佳沈積厚度約為 50A至300A。或者,可利用無電極銅沈積填充銅層於特 徵孔,如厚度到達微米或更多。若使用在填充特徵孔時, 無電極銅溶液還含有添加劑,如加速劑、抑制劑、和平 整劑,以幫助特徵孔内底至頂部的填充。沈積完成後, 基材表面會被洗滌,如用去離子水移除殘留的無電極溶 鲁 液,之後再作乾燥。導電層的洗滌可在無電極沈積室或 分開的室(如SRD室)實行。 沈積導電層的方法還包含在無電極沈積時施一偏壓 於基材結構(如直流偏壓)。一般相信偏壓可幫助移去在 沈積導電層時所形成之被限制的氫氣。一具體例中,電 源供應器與基材導電部分(如PVD銅種晶層)相連,以 供偏壓於基材結構上。—具體例中,電源供應的正極與 基材相連,而負極則和與無電極銅溶液接觸的電極相 連,此電極在基材上與無電極銅溶液接觸。正極提供基 材結構正偏壓並提供電極負偏壓。此偏壓幫助移除源於 無電極沈積銅層之正氫離子,因為帶正電的基材會排斥 正氫離子,而負偏壓的電極會吸引正氫離子。—具體例 中,電源供應提供之電位低於+〇·337ν以防止銅層的反電 鍵。另-具體例中,電源供應的極性作前後反轉以防止 導電銅層的反電錢。 45 200302295 另一具體例中,電源供應的負極與基材相連,而正 極則和與無電極銅溶液接觸的電極相連。一偏^施於基 材上,幫助無電極銅沈積製程的起跳(jump start)。電源 供應提供電位低於或高於+0.337V,為使無電極銅沈積製 程起跳(jump start)。具體例中,在無電極沈積製程期間, 偏壓將施於一短暫時間,例如:介於約毫秒或約小於一 秒間。另一具體例中,偏壓將施於一長時間,例如··介 於約大於一秒或約整個無電極沈積製程期間。 此方法更可包含基材回火(即加熱),其溫度約介在 儀| 100°C至400°c間,最好是約介在10(TC至30(rc間。回火 可在真空下進行,最佳壓力小於1 mt〇rr。或者,回火在大 氣壓下進行,其氣體包含惰性氣體、氮氣、氫氣、或以 上之混合物。一具體例中,回火時間至少約1分鐘。另一 具體例中,回火時間約1至10分鐘。回火較佳是以快速熱 回火製程處理。 一具體例中,回火利用一兩步驟製程實行。首先, 基材在缺乏氫氣的環境下回火,以去除在銅導電層所形 成的氫氣。其次,在基材離開室之前,回火在一氫氣環 境下進行,以減少氧化銅由銅導電層形成。 除沈積觸媒層後的回火外,導電層的回火亦可實 行。導電層的回火較觸媒層的回火更需被實行。一般相 信基材回火可促進導電層的附著力。更相信在製程過程 中’回火可幫助移除無電極銅層中的受限制氫氣。此外, 移除受限氫氣可使導電材料層因氫氣空洞的移除而降低 46 200302295 電阻。而且,回火可促進銅導電層的再結晶。 電鍍觸媒層 觸媒層亦可用電鍍沈積。一具體例之裝置為 ELECTRACUtmECP平台,其能以電鍍製程沈積觸媒層, 由美商應用材料公司(Applied Materials,Inc. of SantaClara,California)所提供。電鐘裝置更詳細介紹於 申請中之美國專利序號〇9/289,074 “電化學沈積系統 (Electro_Chemical Deposition System)” ,建檑於 1999年4 月8日,此項專利可合併做本發明之延伸參考而非相互矛 盾。電鍍與一介於陽極及以基材作為陰極的電流有關, 其在一含金屬離子的電化學槽中進行,以沈積金屬層或 合金層於基材上。 以電鍍沈積之觸媒層包括貴金屬、半貴金屬、以上 金屬之合金、或以上金屬之組合。而電鍍之觸媒層最好 是含銘、把、翻、鎳、鎢、以上金屬之合金、或以上金 屬之組合。一具體例中的觸媒層包含鈷鎳合金、鈷鎢合 金、和鈷鈀合金。電鍍溶液所含有之金屬離子來自於欲 被電鍍之金屬的鹽類,如金屬硫酸鹽、金屬氯化物、金 屬氨基磺酸鹽、及以上之組合。傳統上,電鍍溶液還包 含酸類、鹽類、其他電解質、和其他添加劑。觸媒層的 電沈積還可再包含基材回火。 觸媒層的化學氣相沈積 觸媒層亦可利用化學氣相沈積。一利用化學氣相沈 積形成觸媒層的例子為CVDTxZTM室,其由美商應用材 200302295 料公司(Applied Materials,Inc· of SantaClara,California) 所提供。一般,化學氣相沈積與一流動於金屬先驅物之 攜帶氣體進入室中相關。金屬先驅物利用化學反應,沈 積金屬薄膜於基材表面。化學氣相沈積還可利用電漿來 幫助沈積金屬薄膜於基材表面。以化學氣相沈積的觸媒 層包含貴金屬、半貴金屬、以上金屬的合金、或以上金 屬的組合。觸媒層的化學氣相沈積還可再包含基材回火。 電鍍導電材料層 一具體例中,導電材料層26 (如銅層)可以電鍍方 式沈積在觸媒層24上。另一具體例中,導電材料層26先 以無電極沈積在觸媒層上,再以電鍍方式沈積導電材料 層。 一以電鍍製程沈積導電材料層的裝置為ELECTRA CUTMECP平台。此電鍍裝置詳細介紹於申請中之美國專 利序號09/289,074 “電化學沈積系統(Electro-Chemical Deposition System)” ,建檔於1999年4月8日,此項專利 可合併做本發明之延伸參考而非相互矛盾。電鍍利用在 一含導電材料離子的電化學槽内,通一電流於陽極及陰 極(即基材)間,沈積導電材料層於基材上。一以電鑛 化學沈積銅層於系統内的範例包含一消耗性陽極,此電 極已詳細介紹於申請中之美國專利序號09/245,780 “利 用電沈積化學填充縫隙的改進方法 (Electrodeposition Chemistry For Improved Filling Of Apertures)” ,建稽於 1999年2月5曰,此項專利可合併做本發明之延伸參考而 200302295 非相互矛盾。另一電鍍範例描述於美國專利6,113,771 “電沈積化學(Electro Deposition Chemistry)” ,發表於 2000年9月5曰,此項專利可合併做本發明之延伸參考而 非相互矛盾。 一般而言,導電材料層於基材結構上的電鍍方法, 包括基材結構與電源的負極相連,並將基材結構與陽極 放置於一含有金屬離子並提供電解質的溶液中,由溶液 中的金屬離子以電沈積方式,將金屬沈積在基材結構上。 導電材料層的化學氣相沈積 · 一具體例中,導電材料層26 (如銅層)以化學氣相 沈積沈積在觸媒層24上。另一具體例中,導電材料層26 先以無電極沈積在觸媒層上,再以化學氣相沈積方式沈 積導電材料層。 一以化學氣相沈積形成導電材料層的製程裝置為 CVD Cu室,其由美商應用材料公司(Applied Materials,
Inc· of SantaClara,California)所提供。一以化學氣相沈 積製程形成銅層的範例,已描述於美國專利6,110,530 φ “利用改良之有機銅先驅混合物沈積CVD方法之銅薄膜 (CVD method of depositing copper films by using improved organocopper precursor blend)” ,發表於2000 年8月29曰,此項專利可合併做本發明之延伸參考而非相 互矛盾。 一般,以化學氣相沈積沈積導電層時,係與一流動 於金屬先驅物之攜帶氣體(如氬氣)進入室中相關。銅 先驅物的例子包括 Cu+2(hfac)2、Cu+2(fod)2、與 Cu+lhfac 49 200302295 之錯合物、TMVS(fod為 heptafluoro dimethyl octanediene 的縮寫,hfac 為 hexafluoro acetylacetonate anion,和 TMVS 為trimethylvinylsilane的縮寫)。金屬先驅物以化學反應沈 積金屬層於基材表面上。化學氣相沈積還可利用電漿幫 助金屬薄膜沈積於基材表面上。 四、實施方式 許多沈積觸媒層與導電金屬層之試驗被實行,一些 實施例如下所示: ®
實施例A 一 700A之PVD銅種晶層沈積在具0·2微米特徵孔之 基材結構上,其特徵孔具高寬比約為5:1。觸媒層包括錫 與鈀,利用無電極方式沈積於PVD銅種晶層上,所用之 反應溫度約為40°C,時間為30秒、60秒、120秒、或240 秒。觸媒層是利用無電極沈積溶液沈積所得,其中溶液 含0.7g/L之鈀、25-30g/L之錫、與體積含30%-40%之鹽 酸。以電子顯微鏡掃瞄基材,在觸媒沈積時間為120秒或 240秒時,照片顯示酸性無電極沈積溶液會開始溶解並於 PVD銅種晶層中產生孔洞。以沈積時間為30秒或60秒之 觸媒層沈積,在特徵孔中有良好的階梯覆蓋,且無孔洞 產生於PVD銅種晶層中。
實施例B 一薄PVD銅種晶層沈積在具0.2微米特徵孔之基材結 構上,其特徵孔具高寬比約為5:1。觸媒層包括錫與把, 利用無電極方式沈積於PVD銅種晶層上,所用沈積時間 50 200302295 為3〇秒’反應溫度為室溫、4〇。〇6以、或8〇1觸媒 層是利用無電極沈積溶液沈積所得,其中溶液含、〇姐 之把、25-30g/L之錫、與3〇%_4〇%之鹽酸。以電子 掃瞒基材,在《沈積溫度為室科,㈣顯示觸媒層 具有很粗糙:的表面。
實施例C -薄P V D銅種晶層沈積在具G. 2微米特徵孔之基材结 構上,其特徵孔具高寬比約為5:1。觸媒層包括錫與鈀, 利用無電極方式沈積於薄PVD銅種晶層上,所用沈積時 · 間為30秒,反應溫度為6(rc。觸媒層是利用1〇〇毫升的無 電極沈積溶液沈積所得,其中溶液含〇 7g/L之鈀、 25-30g/L之錫、與30%-40〇/〇之鹽酸。在一些實施例中,1〇〇 笔升的無電極沈積溶液中,額外加入5毫升、1〇毫升、或 2〇毫升的濃鹽酸。以電子顯微鏡掃猫基材,當沈積觸媒 層加入額外之鹽酸時,照片顯示其並未對銅種晶層產生 太大的影響。 實施例D ^ 一薄PVD銅種晶層沈積在具〇·2微米特徵孔之基材結 構上,其特徵孔具高寬比約為5:1。觸媒層包括錫與鈀, 利用無電極方式沈積於薄PVD銅種晶層上,所用沈積時 間為30秒,反應溫度為4〇°c。觸媒層是利用無電極沈積 溶液沈積所得,其中溶液含〇 7g/L之鈀、25_3〇g/L之錫、 與30%·40%之鹽酸。一導電材料層以無電極沈積方式沈 積於觸媒層上,其反應溫度為6〇乂,時間為3〇秒、6〇秒、 51 200302295 或120秒,而其所使用之無電極沈積溶液包含鋼離子。以 電子顯微鏡掃喊材,當導電金制沈、料間為%秒 60秒時’照片顯示導電金屬層為非連續性;當沈積時 為120秒時,導電金屬層為連續。
實施例E 一氮化短阻障層沈積在基材上。一薄PVD鋼種晶層 沈積在阻障層上方。觸媒層包括錫與鈀,利用無電極; 式沈積於PVD銅種晶層上,其反應溫度約為6〇沱,沈積 時間為15秒、30秒、45秒、或_。觸媒層是利用無電 # 極沈積溶液沈積所得,其中溶液含〇.7g/L之鈀、几
之錫、與30%-40%之鹽酸。一銅導電材料層以無電極沈 積方式沈積於觸媒層上,其反應溫度為6(rc, U 秒。所沈積的薄膜在某-深度上,元素的原子濃 用歐傑電子能譜儀(Auger electr〇n spectr〇sc〇pyj測。對 於鋼導電材料層沈積於觸媒層上,觸媒層的沈積時間為 =心時,鈀與錫具有高的原子濃度於堆積薄膜表面,意 旨觸媒層有粗糙的表面。對於銅導電材料層沈積於觸媒 馨 層上,觸媒層的沈積時間為3〇秒、45秒、或6〇秒時,鈀 與2具有低的原子濃度於堆積薄膜表面,意、旨觸媒層有 平滑的表面。未受其他特別定理限制時,一般相信沈積 觸媒層必須具備有—定的時間,才能允許纪/錫粒子接 合形成平滑表面。
貫施例F 一 25〇A之鈕阻障層沈積在具0.2微米特徵孔之基材 52 200302295 結構上,其特徵孔具高寬比大於6:卜8:卜或1〇:1。一4〇〇A 之PVD銅種晶層沈積在阻障層上。一鈀/錫觸媒層利用 無電極方式以40°C 30秒,沈積在銅種晶層上。一鋼導電 材料層以60° C 120秒,利用無電極方式沈積於觸媒層 上。以電子顯微鏡掃猫基材,照片顯示無電極沈積鋼& 電材料層有良好的階梯覆蓋於次微米特徵孔上。
貫施例G 一鈀/錫觸媒層以無電極方式沈積在具〇·2微米特徵 孔之基材結構上,其特徵孔具高寬比約大於丨。一銅導 鲁 電材料層以無電極沈積方式沈積於觸媒層上。第二銅導 電材料層以電鍍方式沈積於銅導電材料層上。以電子顯 微鏡掃瞄基材,照片顯示特徵孔被銅導電材料層填充i 其中無裂縫產生。 ' 上述實施例僅係為了方便說明而舉例而已,本發明 所主張之權利範圍自應以申請專利範圍所述為準,而非 僅限於上述實施例。 五、圖式簡單說明 鲁 圖1A-D所示為經由本發明方法具體例填充之一風貌的剖 面示意圖。 ° 圖2所示為一沈積室具體例的剖面示意圖,用來沈積觸媒 層與/或導電材料層。 μ 圖3A-D所示為圖2具體例中支撐基材架邊緣部分的 示意圖。 ^ 圖4所示為連接基材導電部分之電源供應示意圖。 53 200302295 圖5所示為另一沈積室具體例之剖面示意圖,其亦用來沈 積觸媒層與/或導電材料層。 圖6所示為圖5具體例中支撐基材架邊緣部分的剖面示意 圖。 圖7所示為圖5具體例中,另一種支撐基材架邊緣部分的 剖面示意圖。 圖8所示為再一沈積室具體例之剖面示意圖,其用來沈積 觸媒層與/或導電材料層。 圖9所示為另一沈積室具體例之剖面示意圖,其用來沈積 馨 觸媒層與/或導電材料層。 圖10所示為一多層沈積室具體例之剖面示意圖,其用來 沈積觸媒層與/或導電材料層。 圖11所示為另一沈積室具體例之剖面示意圖,其用來沈 積觸媒層與/或導電材料層。 圖12所示為另一沈積室具體例之剖面示意圖,其用來沈 積觸媒層與/或導電材料層。 圖13所不為_快速回火室具體例之剖面示意圖。 _ 圖14所示為一模範無電極沉積系統平台具體例之俯視 圖,其利用無電極沉積觸媒層與導電材料層。 圖15所示為一示範無電極沉積系統平台具體例之俯視 圖,其沉積觸媒層與導電材料層。 六、圖號說明 1〇基材結構 12介電層 14基材 54 200302295 16 特徵孔 24 觸媒層\ 100 沈積室 106 側壁 110 基材 114 基材接收面 122 馬達 120 縫隙 123 噴嘴 126 真空溝紋 129 閥 130 氣體排出口 133 通道 52 突起邊緣 60 電源供應器 66 流體 137 空隙 141 除氣膜 144 流體埠 147 換能器 148 填充埠 150 沈積室 20 阻障層 26 導電材料層 102製程區間 107底部 112基材支撐架 116舉升元件 121旋轉支撐體 124真空埠 127 排出口(drain) 149再生裝置 131箭頭 134a-彈性封口 e 54 製程流體 62 電接點(electrical contact) 68 流體封口 138蒸發屏 142 封口 145流體攪拌元件 147a換能器 151基材 22 種晶層 104頂部 108狹槽 113基材支撐元件 118舉升栓(此pins) 122支臂 125真空源 128a-流體供應源 f 5〇 排廢埠 132流體排放口 136 邊(flap) 58 流體入口 64 電極 140流層 143薄膜支撐架 146填充物 147b棒竿 152基材支撐架
55 200302295 154 159 160 164 169 2200 2206 2104 2230 2246 2260 2272 2280 1088 1096 170 174 176 179 182 190 193 基材接受面 156 真空埠 158真空溝紋 摺箱 沈積室 161 基材 162基材支撐架 基材接受面 166 夾環(clamp ring) 168流體池 可移動蓋 多層沈積室 2202 基材 2204基材支撐體 基材支撐面 2100 隔間室 2102隔間蓋 隔間側壁 2106 隔間底部 蒸發屏/蓋 2240 溶液入口 2244流體排出口 上抓杯 2258 排出口 2259排出口 喷水孔 2264 洗務抓杯(rinse 舉升栓(lift pins) 2320 catch cap) 舉升栓平台 通道 2282 閘閥(gate valve) 2298流體封口 自動機械片 1094 電解液槽 1194淨化器 洗滌劑儲存槽 1196 淨化器 沈積室 171 基材 172基材支撐架 基材接收面 173 真空埠 175可伸縮環(h〇op) 盤 177 流體琿 178a-流體源 流體回收槽 180 氣體源 C 181真空封口 液體封〇 183 真空源 184換能器 沈積室 191 下盤 192上盤 基材 194 封口 195流體埠 流體源 197 流體回收槽
56 196 200302295 900 快速熱回火室 902 隔間 904 加熱板 (RTA) 906 基材支撐栓 907 加熱器 908 基底 910 側壁 912 頂部 913 冷卻盤 914 反射絕緣盤 916 電源 918 冷卻元件 920 電熱偶 922 管線 922 狹縫閥(slit valve) 924 通口 (opening) 928 舉升盤 930 傳動裝置 932 舉升軸 936 氣體入口 (;inlet> 938 氣體源 940 閥 942 氣體排出口 (outlet) 944 止逆閥 (relief/check valve) 200 無電極沉積系統210 承載站 211 熱回火室 平台 212 SRD站 214 主體(mainframe) 216 主體傳送站( 217 基底 220 電解液補充系統221 電源供應站 222 控制系統 224 基材卡匣接收區 228 承載站傳送機械 230 基材方位調正器 231 基材暫存區卡匣 232 卡匣 234 基材 236 SRD模組 238 基材通道卡匣 240 程序室 242 主體傳送機械 2404機械手臂 300 無電極沉積系統302 卡匣 304 無電極觸媒層沉 平台 積室 306 無電極導電材料308 SRD室 310 回火室 200302295 沈積室 312傳送機械

Claims (1)

  1. 200302295 拾、申請專利範圍 :乂:;:..:, 1. 一種基材製程裝置,包括: 一基材支撐架,具一基材接收面; 一彈性流體封口,位於該基材支撐架邊緣,以防止 流體流入位在基材支撑架之基材接收面的基材背面;以 及 一流體排放口,形成於該基材支撐架邊緣,輕射狀 排列在该流體封口外。 2·如申請專利範圍第1項所述之裝置,其中包含一 氣體排出口,位於該基材支撐架邊緣,該流體排放口及 該流體封口的内側。 3·如申請專利範圍第2項所述之裝置,其中該氣體 排出口提供一清洗氣體給位在基材支撐架上基材接收面 的基材之背面。 4.如申請專利範圍第2項所述之裝置,包括一通道 位在及基材支撐架巾,係將該氣體排出口與該流體排放 口相連。 5·如申請專利範圍第1項所述之裝置,包含一蒸發 屏’位在平行於該基材接收面的對面。 X 6.—種基材製程室,包括: 一基材接收面; 一真空埠; 多個真空溝紋,與真空埠相連;以及 々丨I體封口,位在基材支撐架的邊緣; 一流體源; 59 200302295 一流體入口,與該流體源連接,傳送製程流體至位 在該基材接受面的基材;以及 一排放口,以排放製程流體。 7·如申請專利範圍第6項所述之基材製程室,包括 再生裝置,與該排放口及該流體入口相連,該再生裝 置使由該排放口排出的再循環製程流體,經由該流體入 口再度在該基材製程室中被利用。 8·如申請專利範圍第6項所述之基材製程室,包括 一蒸發屏,尺寸大體涵蓋位在該基材接收面的基材,係 位於基材上方,故存在一空隙於蒸發屏與基材間,該空 隙係被一流體層所填充。 9·如申請專利範圍第8項所述之基材製程室,其中 該流體入口與該排放口分別包含至少一流體埠位在該基 發屏上。 10·如申請專利範圍第8項所述之基材製程室,其中 該蒸發屏可旋轉。 11·如申請專利範圍第8項所述之基材製程室,包括 一封口,位在該蒸發屏與該基材支撐架間。 12.如申凊專利範圍第g項所述之基材製程室,其中 該蒸發屏包含一除氣膜。 13·如申請專利範圍第12項所述之基材製程室,其 中該蒸發屏包含一填充物與該除氣膜相通。 14. 一種將一導電材料沈積於位在一基材結構上之 次微米特徵孔的方法,包括: 沈積一觸媒層,係使用無電極沈積,該觸媒層包 ^UUJU2295 、和以上金屬之組 上’係使用無電極 含二金屬由貴金屬族、半貴金屬族 合,以及包含一四族金屬;以及 沈積一導電金屬層於該觸媒層 沈積’厚度約小於500A。 .如申請專利範圍第14項所述之方法,其中沈 ¥電材料層之厚度小於300A .如申請專利範圍第!4項所述之方法,其中該 屬包括錫 17.如巾請專利範圍第14項所述之方法,其中該貴 金屬包括一含有鈀或鉑之金屬。 主18·如申請專利範圍第14項戶斤述之方法,其中該半 貴金屬包括一含有鈷、鎳、或鎢之金屬。 i9.如中請專利範圍第14項所述之方法,其中沈積 該觸媒層包括該基材結構與—無電極沈積溶液接觸,該 溶液包括四族金屬離子與金屬離子選自於貴金屬離子、 半貴金屬離子、與以上離子的組合。 2〇·如申請專利範圍第14項所述之方法,其中該觸 媒層沈積厚度約介在5Α至100人間。 21.如中請專利範圍第14項所述之方法,其中沈積 該觸媒層包括該基材結構與一第—無電極沈積溶液接 觸,該溶液包括四族金屬,該基材結構再與—第二無電 極沈積溶液接觸,該溶液包括貴金屬離子、半貴金屬離 子、與以上離子之組合。 200302295 22·如申請專利範圍第14項所述之方法,其中沈積 該導電材料層包括無電極沈積一銅層,為利用該基材結 構與一含銅離子之無電極沈積溶液接觸形成。 23·如申請專利範圍第14項所述之方法,其中沈積 該觸媒層包括該基材結構與一無電極沈積溶液接觸,該 溶液包含四族金屬離子與金屬離子選自於貴金屬離子、 半貴金屬離子、與以上離子的組合,其中時間約介於3〇 秒至120秒間,於反應溫度約為2〇。〇至15〇<^間。 24.如申請專利範圍第14項所述之方法,其中該半 籲 貴金屬包括一含有銘、錄、或鶴之金屬。 25·如申請專利範圍第14項所述之方法,其中該無 電極製程所使用之無電極沈積溶液為酸性。 26·如申請專利範圍第14項所述之方法,其中該無 電極沈積之初始pH值低於或等於1。 27·如申清專利範圍第丨4項所述之方法,其中該無 電極沈積溶液含約〇.3g/L至1.4g/L的纪。 包含一溫 28·如申請專利範圍第14項所述之方法, 度約介在100° C至400 °C的觸媒層回火。 29·如申請專利範圍第14項所述之方法, 媒層至少含鈷、鈀、鉑、鎳、鎢或以 其中該觸 鶴或以上之合金。 30.如申請專利範圍第14項所述之方法, 媒層含二種或二種以上金屬之金屬元素化合物 為鈷、鈀、鉑、鎳、鎢。 其中該觸 ,該金屬
    被孔的方法,包括: 基材上之次微米特 62 200302295 沈積一阻障層; 沈積一觸媒層於該阻障層上,利用無電極沈積、 電鍍、或化學氣相沈積之沈積技術達成,該觸媒層包 含一金屬,選自於貴金屬、半貴金屬、以上之合金、 和以上之組合;以及
    沈積一導電材料層於該觸媒層上方,該導電材寿 層厚度小於500A,利用無電極沈積、電鍍、化學氣才 沈積,無電極沈積加上電鍍組合、或無電極沈積加」 化學氣相沈積的組合之沈積技術達成。 32·如中請專利範圍第31項所述之方法, 媒含二種或二種以上金屬之金屬 ^ , 蜀疋京化合物,該金屬為 録、飽、鈾、鎳、鶴。 33.如申請專利範圍第31項所述之方法, 媒層以電鍍沈積,該觸媒層包括鈷人 、^ ^ 鈷-鎢、鈷-鈀、或以上之組合。ϋ、’選自於鈷-鎳、 34·如申請專利範圍第31項所述 媒層以無電極沈積形成,該觸媒 …其中該觸
    々、錫雀、和錫-鎳之合金。 、自於錫始、海 63
TW092101324A 2002-01-28 2003-01-22 Electroless deposition apparatus TW200302295A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/059,572 US7138014B2 (en) 2002-01-28 2002-01-28 Electroless deposition apparatus

Publications (1)

Publication Number Publication Date
TW200302295A true TW200302295A (en) 2003-08-01

Family

ID=27609833

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092101324A TW200302295A (en) 2002-01-28 2003-01-22 Electroless deposition apparatus

Country Status (6)

Country Link
US (2) US7138014B2 (zh)
EP (1) EP1470571A2 (zh)
JP (1) JP2005539369A (zh)
CN (1) CN1639840A (zh)
TW (1) TW200302295A (zh)
WO (1) WO2003065423A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI748253B (zh) * 2018-09-28 2021-12-01 台灣積體電路製造股份有限公司 研磨方法以及研磨系統
TWI810269B (zh) * 2018-03-29 2023-08-01 美商應用材料股份有限公司 電化學電鍍設備與用於清洗基板的方法

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US8257781B1 (en) 2002-06-28 2012-09-04 Novellus Systems, Inc. Electroless plating-liquid system
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
US7112472B2 (en) * 2003-06-25 2006-09-26 Intel Corporation Methods of fabricating a composite carbon nanotube thermal interface device
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005038084A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
TWI232888B (en) * 2003-11-25 2005-05-21 Min-Hung Lei Method for producing supported palladium membrane for purification of high purity hydrogen
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4559818B2 (ja) * 2004-04-30 2010-10-13 アルプス電気株式会社 シリコン基板の無電解めっき方法およびシリコン基板上の金属層形成方法
US7829152B2 (en) * 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US7390739B2 (en) 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4686201B2 (ja) * 2005-01-27 2011-05-25 パナソニック株式会社 固体撮像装置及びその製造方法
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
TW200707640A (en) * 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
DE102005038208B4 (de) * 2005-08-12 2009-02-26 Müller, Thomas Verfahren zur Herstellung von Silberschichten und seine Verwendung
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7544574B2 (en) 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US8776717B2 (en) 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
JP4408432B2 (ja) * 2005-12-26 2010-02-03 東京エレクトロン株式会社 ダマシン配線の形成方法
US7644512B1 (en) 2006-01-18 2010-01-12 Akrion, Inc. Systems and methods for drying a rotating substrate
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
EP1994550A4 (en) * 2006-02-10 2012-01-11 Intermolecular Inc METHOD AND DEVICE FOR COMBINATORY VARIANT MATERIALS, UNIT PROCESS AND PROCESS PROCESS
KR100788602B1 (ko) * 2006-09-29 2007-12-26 주식회사 하이닉스반도체 반도체 소자 및 그 금속 배선 형성 방법
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
US20080092947A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Pulse plating of a low stress film on a solar cell substrate
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US7799182B2 (en) * 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
WO2008070568A2 (en) * 2006-12-01 2008-06-12 Applied Materials, Inc. Apparatus and method for electroplating on a solar cell substrate
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
KR20100086490A (ko) * 2007-10-24 2010-07-30 오씨 외를리콘 발처스 악티엔게젤샤프트 작업편 제조방법 및 장치
US8282999B2 (en) * 2008-04-04 2012-10-09 Micron Technology, Inc. Spin-on film processing using acoustic radiation pressure
US8617318B2 (en) * 2008-06-05 2013-12-31 Tokyo Electron Limited Liquid processing apparatus and liquid processing method
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
US8139340B2 (en) * 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
US8540818B2 (en) * 2009-04-28 2013-09-24 Mitsubishi Materials Corporation Polycrystalline silicon reactor
AU2010310750B2 (en) * 2009-10-23 2015-02-26 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US9217197B2 (en) * 2010-02-26 2015-12-22 Applied Materials, Inc. Methods for depositing a layer on a substrate using surface energy modulation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI476299B (zh) * 2010-06-23 2015-03-11 Ind Tech Res Inst 化學浴鍍膜設備及化合物薄膜的製造方法
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103503175B (zh) * 2011-05-06 2016-05-25 欧司朗光电半导体有限公司 具有分隔器件载体区域的沟槽结构的器件载体复合结构与用于制造多个器件载体区域的方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9153449B2 (en) 2012-03-19 2015-10-06 Lam Research Corporation Electroless gap fill
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US10090175B2 (en) * 2012-06-22 2018-10-02 Scientific Value Solutions Co. Ltd Apparatus for manufacturing semiconductor wafer
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
CN104091758B (zh) * 2014-07-25 2017-03-15 上海华力微电子有限公司 一种改善通孔刻蚀后晶圆表面微环境的方法
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6404745B2 (ja) * 2015-02-25 2018-10-17 住友精密工業株式会社 液状物充填装置
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN105088302A (zh) * 2015-06-30 2015-11-25 昆山市欣典鼎机械设备有限公司 一种机器人在表面处理生产中的应用方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6526543B2 (ja) * 2015-10-28 2019-06-05 東京エレクトロン株式会社 めっき処理装置及びめっき処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10640874B2 (en) * 2017-08-14 2020-05-05 Lam Research Corporation Selective electroless electrochemical atomic layer deposition in an aqueous solution without external voltage bias
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
TWI762731B (zh) * 2017-11-08 2022-05-01 美商羅門哈斯電子材料有限公司 銅電鍍組合物及在基板上電鍍銅之方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11345991B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and machine of manufacture
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
JP2023524809A (ja) * 2020-05-08 2023-06-13 ラム リサーチ コーポレーション コバルト、ニッケル、および、それらの合金の電気メッキ
CN112063852B (zh) * 2020-09-14 2022-07-05 湘潭云萃环保技术有限公司 一种含铜污泥中回收贵金属的设备

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1085742A (en) * 1913-04-16 1914-02-03 Spirella Company Inc Hood for electroplating-tanks.
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US2439491A (en) * 1942-06-02 1948-04-13 Schiffl Charles Enclosed electroplating tank
US3853094A (en) * 1971-01-25 1974-12-10 Du Pont Electroless plating apparatus
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4120699A (en) * 1974-11-07 1978-10-17 Alvin B. Kennedy, Jr. Method for acoustical cleaning
US4021278A (en) * 1975-12-12 1977-05-03 International Business Machines Corporation Reduced meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4376581A (en) * 1979-12-20 1983-03-15 Censor Patent- Und Versuchs-Anstalt Method of positioning disk-shaped workpieces, preferably semiconductor wafers
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4353942A (en) * 1980-11-17 1982-10-12 Dayco Corporation Coating method
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
NL8200469A (nl) * 1982-02-08 1983-09-01 Langen Research Uitbeeninrichting.
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
JPS609129A (ja) * 1983-06-29 1985-01-18 Fujitsu Ltd ウエツト処理装置
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US4677758A (en) * 1985-10-08 1987-07-07 Seiichiro Aigo Spin drier for semiconductor material
JPH0814644B2 (ja) * 1985-12-16 1996-02-14 三菱電機株式会社 色フィルタ染色装置
US4758926A (en) * 1986-03-31 1988-07-19 Microelectronics And Computer Technology Corporation Fluid-cooled integrated circuit package
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4875434A (en) * 1987-04-02 1989-10-24 Mitsubishi Denki Kabushiki Kaisha Apparatus for coating a substrate with a coating material
JP2675309B2 (ja) * 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US6056994A (en) * 1988-12-27 2000-05-02 Symetrix Corporation Liquid deposition methods of fabricating layered superlattice materials
US4989345A (en) * 1989-12-18 1991-02-05 Gill Jr Gerald L Centrifugal spin dryer for semiconductor wafer
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5232511A (en) * 1990-05-15 1993-08-03 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous mixed acid vapors
US5658387A (en) * 1991-03-06 1997-08-19 Semitool, Inc. Semiconductor processing spray coating apparatus
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5112465A (en) * 1990-12-04 1992-05-12 George Danielson Electrodeposition apparatus
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5395649A (en) * 1992-02-04 1995-03-07 Sony Corporation Spin coating apparatus for film formation over substrate
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5260095A (en) * 1992-08-21 1993-11-09 Battelle Memorial Institute Vacuum deposition and curing of liquid monomers
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5368634A (en) * 1993-07-26 1994-11-29 Hughes Aircraft Company Removing bubbles from small cavities
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5423716A (en) * 1994-01-05 1995-06-13 Strasbaugh; Alan Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied
JPH07235473A (ja) 1994-02-24 1995-09-05 Hitachi Ltd 液体供給方法及び回転式液体供給装置及び回転式レジスト現像処理装置
JPH07297543A (ja) 1994-04-25 1995-11-10 Sumitomo Metal Mining Co Ltd プリント配線板用金属被覆ガラスエポキシ樹脂基板
US5979475A (en) * 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5974661A (en) * 1994-05-27 1999-11-02 Littelfuse, Inc. Method of manufacturing a surface-mountable device for protection against electrostatic damage to electronic components
JP2741344B2 (ja) * 1994-07-22 1998-04-15 大同メタル工業株式会社 超音波処理装置
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
TW494714B (en) * 1995-04-19 2002-07-11 Tokyo Electron Ltd Method of processing substrate and apparatus for processing substrate
JPH08316190A (ja) * 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
US6090261A (en) 1995-05-26 2000-07-18 Formfactor, Inc. Method and apparatus for controlling plating over a face of a substrate
US6042712A (en) * 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
WO1997022733A1 (en) 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
TW344097B (en) * 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
KR100490508B1 (ko) * 1996-05-20 2005-08-04 동경 엘렉트론 주식회사 스핀드라이어및기판건조방법
DE19620591B4 (de) * 1996-05-22 2004-08-26 Fresenius Medical Care Deutschland Gmbh Vorrichtung zum Entfernen von Gasen aus Flüssigkeiten
JPH1022246A (ja) * 1996-07-04 1998-01-23 Tadahiro Omi 洗浄方法
US5899216A (en) * 1996-07-08 1999-05-04 Speedfam Corporation Apparatus for rinsing wafers in the context of a combined cleaning rinsing and drying system
FR2754474B1 (fr) * 1996-10-15 1999-04-30 Novatec Dispositif pour le depot d'un produit visqueux ou pateux sur un substrat a travers les ouvertures d'un pochoir
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
KR100246963B1 (ko) * 1996-11-22 2000-03-15 윤종용 반도체 제조장치의 웨이퍼 홀더용 스테이지
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6027602A (en) * 1997-08-29 2000-02-22 Techpoint Pacific Singapore Pte. Ltd. Wet processing apparatus
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
KR100586481B1 (ko) * 1997-09-02 2006-11-30 가부시키가이샤 에바라 세이사꾸쇼 기판을도금하는방법
US5974681A (en) * 1997-09-10 1999-11-02 Speedfam-Ipec Corp. Apparatus for spin drying a workpiece
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
JP3323797B2 (ja) * 1998-01-21 2002-09-09 東京エレクトロン株式会社 疎水化処理装置
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP3628170B2 (ja) * 1998-03-04 2005-03-09 株式会社荏原製作所 めっき装置
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP3534605B2 (ja) 1998-03-27 2004-06-07 大日本スクリーン製造株式会社 基板メッキ装置
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6428852B1 (en) * 1998-07-02 2002-08-06 Mykrolis Corporation Process for coating a solid surface with a liquid composition
US6124214A (en) * 1998-08-27 2000-09-26 Micron Technology, Inc. Method and apparatus for ultrasonic wet etching of silicon
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000277478A (ja) * 1999-03-25 2000-10-06 Canon Inc 陽極化成装置、陽極化成システム、基板の処理装置及び処理方法、並びに基板の製造方法
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6846392B1 (en) * 1999-06-04 2005-01-25 Mykrolis Corporation Hydrophobic and hydrophilic membranes to vent trapped gases in a plating cell
WO2000075402A1 (en) * 1999-06-04 2000-12-14 Mykrolis Corporation Hydrophobic and hydrophilic membranes to vent trapped gases in a plating cell
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6467026B2 (en) * 1999-07-23 2002-10-15 Hitachi, Ltd. Web cache memory device and browser apparatus utilizing the same
US6391209B1 (en) * 1999-08-04 2002-05-21 Mykrolis Corporation Regeneration of plating baths
TW399743U (en) * 1999-09-15 2000-07-21 Ind Tech Res Inst Wafer back protection device
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
DE29922090U1 (de) * 1999-12-16 2000-03-30 Sotralentz Sa Behälter, insbesondere Kunststoffbehälter, für fließfähige Medien
CN1319130C (zh) * 1999-12-24 2007-05-30 株式会社荏原制作所 半导体基片处理装置及处理方法
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
EP1247140A1 (en) * 1999-12-30 2002-10-09 Applied Science Fiction, Inc. Improved system and method for digital film development using visible light
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US20030116176A1 (en) * 2001-04-18 2003-06-26 Rothman Laura B. Supercritical fluid processes with megasonics
JP2003037093A (ja) * 2001-07-06 2003-02-07 Pacific Internatl Stg Inc 超音波振動装置及びそれを備えた超音波洗浄装置
JP2003059884A (ja) * 2001-08-20 2003-02-28 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6846519B2 (en) * 2002-08-08 2005-01-25 Blue29, Llc Method and apparatus for electroless deposition with temperature-controlled chuck
US6908512B2 (en) * 2002-09-20 2005-06-21 Blue29, Llc Temperature-controlled substrate holder for processing in fluids
US20040094186A1 (en) * 2002-11-19 2004-05-20 Igor Ivanov Method and apparatus for uniform treatment of objects in liquids
US7235483B2 (en) * 2002-11-19 2007-06-26 Blue29 Llc Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI810269B (zh) * 2018-03-29 2023-08-01 美商應用材料股份有限公司 電化學電鍍設備與用於清洗基板的方法
US11814744B2 (en) 2018-03-29 2023-11-14 Applied Materials, Inc. Substrate cleaning components and methods in a plating system
TWI748253B (zh) * 2018-09-28 2021-12-01 台灣積體電路製造股份有限公司 研磨方法以及研磨系統

Also Published As

Publication number Publication date
US7138014B2 (en) 2006-11-21
US20050199489A1 (en) 2005-09-15
WO2003065423A2 (en) 2003-08-07
EP1470571A2 (en) 2004-10-27
WO2003065423A3 (en) 2003-11-06
CN1639840A (zh) 2005-07-13
JP2005539369A (ja) 2005-12-22
US20030141018A1 (en) 2003-07-31

Similar Documents

Publication Publication Date Title
TW200302295A (en) Electroless deposition apparatus
US6824666B2 (en) Electroless deposition method over sub-micron apertures
US20030143837A1 (en) Method of depositing a catalytic layer
TW554069B (en) Plating device and method
JP4875492B2 (ja) 無電解堆積のための装置
JP3960774B2 (ja) 無電解めっき装置及び方法
US20110259750A1 (en) Method of direct plating of copper on a ruthenium alloy
US6897152B2 (en) Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
JPWO2002090623A1 (ja) 銅めっき浴およびこれを用いる基板のめっき方法
KR20160135771A (ko) 전기화학적 도금 방법들
US20050081744A1 (en) Electroplating compositions and methods for electroplating
JP4010791B2 (ja) 無電解めっき装置及び無電解めっき方法
EP1430167A2 (en) Plating apparatus and method
TW544744B (en) Semiconductor device and method for manufacturing the same
US20040194698A1 (en) Plating apparatus
JP2005048209A (ja) 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置
JP2001181851A (ja) めっき方法及びめっき構造
WO2003038148A1 (en) Plating apparatus and plating method
JP2003224128A (ja) 配線形成方法及び装置
JP4189876B2 (ja) 基板処理装置
US20040186008A1 (en) Catalyst-imparting treatment solution and electroless plating method
JP2003073846A (ja) めっき装置及びめっき方法
WO2023204978A1 (en) Conformal copper deposition on thin liner layer
JP2007070720A (ja) 電解処理装置及び電解処理方法
KR20070031373A (ko) 배리어 금속상에 직접 구리를 도금할 수 있도록 배리어 층표면을 처리하는 방법