KR950001935A - 집적회로 제조방법 - Google Patents

집적회로 제조방법 Download PDF

Info

Publication number
KR950001935A
KR950001935A KR1019940014409A KR19940014409A KR950001935A KR 950001935 A KR950001935 A KR 950001935A KR 1019940014409 A KR1019940014409 A KR 1019940014409A KR 19940014409 A KR19940014409 A KR 19940014409A KR 950001935 A KR950001935 A KR 950001935A
Authority
KR
South Korea
Prior art keywords
layer
trench
chemical mechanical
policy
coplanar
Prior art date
Application number
KR1019940014409A
Other languages
English (en)
Inventor
말콤 보이드 존
폴 엘룰 죠셉
핀 타이 싱
Original Assignee
존 이.모울
노오던 텔레콤 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 존 이.모울, 노오던 텔레콤 리미티드 filed Critical 존 이.모울
Publication of KR950001935A publication Critical patent/KR950001935A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

집적 회로용 반도체 기판에 예를 들어, 트렌치 분리 필드 산화물 영역 또는 박막 반도체 디바이스들이 형성되는 트렌치 분리 반도체 영역과 같은 완전 평탄화된 트렌지 분리 영역을 형성하는 방법이 제공된다. 평탄화는 넓은 트랜체들의 중앙 영역 뿐만 아니라 트렌치들에 인접한 반도체 기판 표면에 화학 기계적 폴리시 저항 재료의 동일 평면성 층들이 제공되는 화학 기계적 폴리싱 공정에 의해 달성된다. 화학 기계적 폴리시 저항층은 화학 기계적 폴리싱에 의한 전체적인 웨이퍼 평탄화 동안 트렌치 충전층들의 디싱을 방지하기 위해 넓은 트렌치의 중앙영역에 에치 스톱을 형성한다. 이 방법은 서브미크론 VLSI 및 ULSI 집적 회로구조용 CMOS, 바이폴라 및 바이폴라 CMOS 공정들에 적합하다.

Description

집적회로 제조방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도 내지 제3도는 본 발명의 제1실시예에 따른 트렌치 분리 영역을 형성하는 연속 단계들에서 부분적으로 제조된 집적 회로 구조의 개략적인 부분 단면도.

Claims (6)

  1. 집적 회로 제조 방법에 있어서, 평탄한 표면을 갖고, 화학 기계적 폴리시 저항 재료의 표면층을 포함하며, 그 안에 측면이 급경사인 트렌치들이 한정된 반도체 기판을 제공하는 단계; 적어도 1개의 트렌치 충전 재료의 공형층(conformal layer) 및 반도체 기판의 화학 기계적 폴리시 저항 재료의 표면층과 표면 동일 평면성(surface coplanar)를 폴리시 스톱(polish stop)을 트렌치의 중앙 영역에 제공하는 화학 기계적 폴리시 저항 재료의 공형층으로 트렌치를 충전시키는 단계; 및 최종 구조를 화학 기계적 폴리싱에 의해 평탄화시켜, 기판의 평탄화 표면 및 트렌치의 중앙 영역이 폴리시 스톱인 동일 평면성 표면 위로 연장한 층들을 선택적으로 제거하는 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  2. 제1항에 있어서, 트렌치의 중앙 영역에 기판의 화학 기계적 폴리시 저항 재료의 표면층의 두께와 동일한 두께를 갖는 폴리시 스톱을 형성하는 화학 기계적 폴리시 저항 재료층을 제공하는 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  3. 집적 회로 제조 방법에 있어서, 표면, 이 표면 위에 형성된 화학 기계적 폴리시 스톱층 및 오버라잉(overlying) 유전체층을 갖고 있고, 그 안에 측면이 급경사인 트렌치들이 한정된 반도체 기판을 제공하는 단계; 두께가 반도체 기판의 표면과 동일 평면성인 트렌치의 중앙을 충전하기에 충분한 정도의 트렌치 충전 재료의 공형층을 트렌치에 인접한 제1유전체층의 표면위로 전체적으로 연장하도록 제공하고 트렌치를 충전하는 단계; 기판 표면상의 제1폴리시 스톱층의 부분들과 트렌치 중앙의 제2폴리시 스톱층의 부분의 표면들이 동일 평면성이 되도록 제2화학 기계적 폴리시 스톱층인 오버라잉 공형층을 전체적으로 제공하는 단계; 및 제2유전체층들 및 반도체 기판의 표면과 동일 평면성인 제1 및 제2폴리시 스톱층의 부분들의 표면들 위로 연장한 제2폴리시 스톱층을 선택적으로 제거함으로써 최종 구조를 평탄화시키는 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  4. 제3항에 있어서, 기판 표면과 동일 평면성인 완전 평탄화된 표면을 남기도록 폴리시 저항층들을 선택적으로 제거하는 후속 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  5. 제3항에 있어서, 상기 공형 트렌치 충전층을 제공하는 단계가 평탄화 단계후에, 트렌치 분리 반도체 웰 영역이 제공되도록 유전체 재료의 제1공형층을 피착하고 그 다음으로, 반도체 재료의 제2공형층을 피착하는 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  6. 제5항에 있어서, 폴리시 저항 층들을 연속적으로 제거하여 기판 표면과 동일 평면성인 완전평탄화된 표면을 남기는 단계; 트렌치 분리 반도체 웰 영역의 동일 평면성 표면 및 반도체 기판에 MOS트랜지스터의 소소, 드레인 및 채널 영역을 한정하는 단계; 게이트 유전체 재료층을 전체적으로 형성하는 단계; 및 도전성 재료의 오버라잉층을 형성하고, 유전체 재료층에 의해 채널 영역 위에 분리 배치된 도전성 재료에 게이트 전극들을 한정하는 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940014409A 1993-06-24 1994-06-23 집적회로 제조방법 KR950001935A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/080,544 US5362669A (en) 1993-06-24 1993-06-24 Method of making integrated circuits
US08/080,544 1993-06-24

Publications (1)

Publication Number Publication Date
KR950001935A true KR950001935A (ko) 1995-01-04

Family

ID=22158072

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940014409A KR950001935A (ko) 1993-06-24 1994-06-23 집적회로 제조방법

Country Status (4)

Country Link
US (1) US5362669A (ko)
JP (1) JPH0799237A (ko)
KR (1) KR950001935A (ko)
CA (1) CA2125465C (ko)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5773871A (en) * 1993-06-24 1998-06-30 Northern Telecom Limited Integrated circuit structure and method of fabrication thereof
KR0162510B1 (ko) * 1993-07-12 1999-02-01 가네꼬 히사시 반도체 장치 및 그 제조방법
JPH07245306A (ja) * 1994-01-17 1995-09-19 Sony Corp 半導体装置における膜平坦化方法
US5459096A (en) * 1994-07-05 1995-10-17 Motorola Inc. Process for fabricating a semiconductor device using dual planarization layers
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
KR0139573B1 (ko) * 1994-12-26 1998-06-15 김주용 이중 채널 박막트랜지스터 및 그 제조방법
KR0143713B1 (ko) * 1994-12-26 1998-07-01 김주용 트랜지스터 및 그 제조 방법
US6069081A (en) * 1995-04-28 2000-05-30 International Buiness Machines Corporation Two-step chemical mechanical polish surface planarization technique
US5616513A (en) * 1995-06-01 1997-04-01 International Business Machines Corporation Shallow trench isolation with self aligned PSG layer
JP3068439B2 (ja) * 1995-06-07 2000-07-24 日本ファウンドリー株式会社 半導体装置およびその製造方法
US5859466A (en) 1995-06-07 1999-01-12 Nippon Steel Semiconductor Corporation Semiconductor device having a field-shield device isolation structure and method for making thereof
JPH0964164A (ja) * 1995-08-24 1997-03-07 Nittetsu Semiconductor Kk 半導体装置およびその製造方法
US5885900A (en) * 1995-11-07 1999-03-23 Lucent Technologies Inc. Method of global planarization in fabricating integrated circuit devices
US5734192A (en) * 1995-12-22 1998-03-31 International Business Machines Corporation Trench isolation for active areas and first level conductors
KR100190010B1 (ko) * 1995-12-30 1999-06-01 윤종용 반도체 소자의 소자분리막 형성방법
KR100192178B1 (ko) * 1996-01-11 1999-06-15 김영환 반도체 소자의 아이솔레이션 방법
KR980006053A (ko) * 1996-06-26 1998-03-30 문정환 반도체장치의 격리막 형성방법
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
JP3688816B2 (ja) * 1996-07-16 2005-08-31 株式会社東芝 半導体装置の製造方法
US5710076A (en) * 1996-09-03 1998-01-20 Industrial Technology Research Institute Method for fabricating a sub-half micron MOSFET device with global planarization of insulator filled shallow trenches, via the use of a bottom anti-reflective coating
US5721172A (en) * 1996-12-02 1998-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers
US5972796A (en) * 1996-12-12 1999-10-26 Texas Instruments Incorporated In-situ barc and nitride etch process
US5858870A (en) * 1996-12-16 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Methods for gap fill and planarization of intermetal dielectrics
US6069069A (en) * 1996-12-16 2000-05-30 Chartered Semiconductor Manufacturing, Ltd. Method for planarizing a low dielectric constant spin-on polymer using nitride etch stop
US5968843A (en) * 1996-12-18 1999-10-19 Advanced Micro Devices, Inc. Method of planarizing a semiconductor topography using multiple polish pads
US5792707A (en) * 1997-01-27 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Global planarization method for inter level dielectric layers of integrated circuits
TW334614B (en) * 1997-03-04 1998-06-21 Winbond Electronics Corp The method of forming shallow trench isolation
US5866465A (en) 1997-04-03 1999-02-02 Micron Technology, Inc. Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass
US5817567A (en) * 1997-04-07 1998-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Shallow trench isolation method
JP3111924B2 (ja) * 1997-04-11 2000-11-27 日本電気株式会社 半導体装置の製造方法
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6013558A (en) * 1997-08-06 2000-01-11 Vlsi Technology, Inc. Silicon-enriched shallow trench oxide for reduced recess during LDD spacer etch
US6150072A (en) * 1997-08-22 2000-11-21 Siemens Microelectronics, Inc. Method of manufacturing a shallow trench isolation structure for a semiconductor device
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
US5928959A (en) * 1997-09-30 1999-07-27 Siemens Aktiengesellschaft Dishing resistance
US5814547A (en) * 1997-10-06 1998-09-29 Industrial Technology Research Institute Forming different depth trenches simultaneously by microloading effect
US6080042A (en) * 1997-10-31 2000-06-27 Virginia Semiconductor, Inc. Flatness and throughput of single side polishing of wafers
US6025244A (en) * 1997-12-04 2000-02-15 Fujitsu Limited Self-aligned patterns by chemical-mechanical polishing particularly suited to the formation of MCM capacitors
US6090713A (en) * 1997-12-18 2000-07-18 Advanced Micro Devices, Inc. Shallow trench isolation formation with simplified reverse planarization mask
US6555476B1 (en) 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
DE69840889D1 (de) * 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6143663A (en) * 1998-01-22 2000-11-07 Cypress Semiconductor Corporation Employing deionized water and an abrasive surface to polish a semiconductor topography
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6093656A (en) * 1998-02-26 2000-07-25 Vlsi Technology, Inc. Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device
WO1999046081A1 (en) * 1998-03-11 1999-09-16 Strasbaugh Multi-step chemical mechanical polishing process and device
US6171180B1 (en) 1998-03-31 2001-01-09 Cypress Semiconductor Corporation Planarizing a trench dielectric having an upper surface within a trench spaced below an adjacent polish stop surface
US5919714A (en) * 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US5972798A (en) * 1998-05-29 1999-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Prevention of die loss to chemical mechanical polishing
KR100280487B1 (ko) 1998-06-05 2001-03-02 김영환 반도체소자에서의소자격리구조및그격리방법
US6323540B1 (en) 1998-06-10 2001-11-27 Micron Technology, Inc. Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass, and a semiconductor structure
TW370708B (en) * 1998-06-23 1999-09-21 United Microelectronics Corp Method for manufacturing shallow trench isolation structure without producing microscratches on surface of shallow trench isolation structure (revised edition)
US6017803A (en) * 1998-06-24 2000-01-25 Chartered Semiconductor Manufacturing, Ltd. Method to prevent dishing in chemical mechanical polishing
SG82606A1 (en) * 1998-06-24 2001-08-21 Chartered Semiconductor Mfg A method to prevent dishing in chemical mechanical polishing
US6071818A (en) 1998-06-30 2000-06-06 Lsi Logic Corporation Endpoint detection method and apparatus which utilize an endpoint polishing layer of catalyst material
US6077783A (en) * 1998-06-30 2000-06-20 Lsi Logic Corporation Method and apparatus for detecting a polishing endpoint based upon heat conducted through a semiconductor wafer
US6241847B1 (en) 1998-06-30 2001-06-05 Lsi Logic Corporation Method and apparatus for detecting a polishing endpoint based upon infrared signals
US6268224B1 (en) 1998-06-30 2001-07-31 Lsi Logic Corporation Method and apparatus for detecting an ion-implanted polishing endpoint layer within a semiconductor wafer
US6285035B1 (en) 1998-07-08 2001-09-04 Lsi Logic Corporation Apparatus for detecting an endpoint polishing layer of a semiconductor wafer having a wafer carrier with independent concentric sub-carriers and associated method
US6074517A (en) * 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6146975A (en) * 1998-07-10 2000-11-14 Lucent Technologies Inc. Shallow trench isolation
US6080670A (en) * 1998-08-10 2000-06-27 Lsi Logic Corporation Method of detecting a polishing endpoint layer of a semiconductor wafer which includes a non-reactive reporting specie
US5972124A (en) * 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6534378B1 (en) 1998-08-31 2003-03-18 Cypress Semiconductor Corp. Method for forming an integrated circuit device
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
JP2000124305A (ja) * 1998-10-15 2000-04-28 Mitsubishi Electric Corp 半導体装置
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6201253B1 (en) 1998-10-22 2001-03-13 Lsi Logic Corporation Method and apparatus for detecting a planarized outer layer of a semiconductor wafer with a confocal optical system
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
JP2000164690A (ja) 1998-11-25 2000-06-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6121147A (en) * 1998-12-11 2000-09-19 Lsi Logic Corporation Apparatus and method of detecting a polishing endpoint layer of a semiconductor wafer which includes a metallic reporting substance
US6159786A (en) * 1998-12-14 2000-12-12 Taiwan Semiconductor Manufacturing Company Well-controlled CMP process for DRAM technology
US6117779A (en) * 1998-12-15 2000-09-12 Lsi Logic Corporation Endpoint detection method and apparatus which utilize a chelating agent to detect a polishing endpoint
US6528389B1 (en) * 1998-12-17 2003-03-04 Lsi Logic Corporation Substrate planarization with a chemical mechanical polishing stop layer
TW428243B (en) * 1999-01-22 2001-04-01 United Microelectronics Corp Method for enhancing the planarization of the die region and scribe line by using dummy pattern
JP3443358B2 (ja) * 1999-03-24 2003-09-02 シャープ株式会社 半導体装置の製造方法
FR2791810B1 (fr) * 1999-03-31 2001-06-22 France Telecom Procede de fabrication d'une heterostructure planaire
US6048775A (en) * 1999-05-24 2000-04-11 Vanguard International Semiconductor Corporation Method to make shallow trench isolation structure by HDP-CVD and chemical mechanical polish processes
TW448085B (en) * 1999-05-25 2001-08-01 Taiwan Semiconductor Mfg Semiconductor manufacture method
US6261914B1 (en) 1999-07-27 2001-07-17 International Business Machines Corporation Process for improving local uniformity of chemical mechanical polishing using a self-aligned polish rate enhancement layer
KR100297736B1 (ko) * 1999-08-13 2001-11-01 윤종용 트렌치 소자분리방법
US7751609B1 (en) 2000-04-20 2010-07-06 Lsi Logic Corporation Determination of film thickness during chemical mechanical polishing
US6593210B1 (en) * 2000-10-24 2003-07-15 Advanced Micro Devices, Inc. Self-aligned/maskless reverse etch process using an inorganic film
US6500717B2 (en) * 2000-12-01 2002-12-31 Agere Systems Inc. Method for making an integrated circuit device with dielectrically isolated tubs and related circuit
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US7087979B1 (en) 2001-06-15 2006-08-08 National Semiconductor Corporation Bipolar transistor with an ultra small self-aligned polysilicon emitter
US6649482B1 (en) * 2001-06-15 2003-11-18 National Semiconductor Corporation Bipolar transistor with a silicon germanium base and an ultra small self-aligned polysilicon emitter and method of forming the transistor
US6784065B1 (en) 2001-06-15 2004-08-31 National Semiconductor Corporation Bipolar transistor with ultra small self-aligned polysilicon emitter and method of forming the transistor
EP1271631A1 (en) * 2001-06-29 2003-01-02 Interuniversitair Micro-Elektronica Centrum Vzw A method for producing semiconductor devices using chemical mechanical polishing
US6638866B1 (en) * 2001-10-18 2003-10-28 Taiwan Semiconductor Manufacturing Company Chemical-mechanical polishing (CMP) process for shallow trench isolation
KR100701674B1 (ko) * 2001-12-20 2007-03-29 매그나칩 반도체 유한회사 반도체 소자의 제조방법
JP3956709B2 (ja) * 2002-01-23 2007-08-08 セイコーエプソン株式会社 半導体装置の製造方法
US6774042B1 (en) * 2002-02-26 2004-08-10 Taiwan Semiconductor Manufacturing Company Planarization method for deep sub micron shallow trench isolation process
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
WO2004015772A1 (en) * 2002-08-08 2004-02-19 Nanoink, Inc. Protosubstrates
EP1636615A4 (en) * 2003-05-29 2007-03-14 Applied Materials Inc EMBEDDED WAVEGUIDE DETECTORS
US20050114227A1 (en) * 2003-11-25 2005-05-26 Carter Craig M. Web-based tool for maximizing value from surplus assets
US7291525B1 (en) * 2004-08-05 2007-11-06 National Semiconductor Corporation System and method for manufacturing thin film resistors using a trench and chemical mechanical polishing
KR100832106B1 (ko) * 2006-12-05 2008-05-27 삼성전자주식회사 반도체 소자의 제조방법
US7927960B2 (en) * 2006-12-11 2011-04-19 Macronix International Co., Ltd. Method of improving overlay performance in semiconductor manufacture
US7829428B1 (en) 2008-08-26 2010-11-09 National Semiconductor Corporation Method for eliminating a mask layer during thin film resistor manufacturing
US8673788B2 (en) * 2010-07-28 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layer on a semiconductor substrate having a plurality of trenches
US8580690B2 (en) 2011-04-06 2013-11-12 Nanya Technology Corp. Process of planarizing a wafer with a large step height and/or surface area features
JP5857615B2 (ja) * 2011-10-17 2016-02-10 富士通株式会社 電子装置およびその製造方法
WO2019049735A1 (ja) * 2017-09-11 2019-03-14 東京エレクトロン株式会社 絶縁膜の成膜方法、基板処理装置及び基板処理システム
US10707085B2 (en) * 2018-03-07 2020-07-07 Infineon Technologies Austria Ag Dishing prevention structures and related methods for semiconductor devices

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4255207A (en) * 1979-04-09 1981-03-10 Harris Corporation Fabrication of isolated regions for use in self-aligning device process utilizing selective oxidation
JPS57204133A (en) * 1981-06-10 1982-12-14 Hitachi Ltd Manufacture of semiconductor integrated circuit
JPS618945A (ja) * 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US4570330A (en) * 1984-06-28 1986-02-18 Gte Laboratories Incorporated Method of producing isolated regions for an integrated circuit substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
JP2919880B2 (ja) * 1989-11-17 1999-07-19 富士通株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US5362669A (en) 1994-11-08
CA2125465C (en) 1997-11-04
JPH0799237A (ja) 1995-04-11
CA2125465A1 (en) 1994-12-25

Similar Documents

Publication Publication Date Title
KR950001935A (ko) 집적회로 제조방법
KR100189966B1 (ko) 소이 구조의 모스 트랜지스터 및 그 제조방법
US6855969B2 (en) Semiconductor device having a plurality of gate electrodes and manufacturing method thereof
US7285488B2 (en) Method of fabricating strained channel field effect transistor pair having underlapped dual liners
US5726084A (en) Method for forming integrated circuit structure
US6876055B2 (en) Semiconductor device and its production method
US6346736B1 (en) Trench isolated semiconductor device
US5792705A (en) Optimized planarization process for SOG filled vias
TWI382533B (zh) 改良式雙重應力襯墊
JPH1027914A (ja) Soiトランジスタ及びその製造方法
EP0534631B1 (en) Method of forming vias structure obtained
JPH0214552A (ja) 半導体装置内の下方レベルの金属に接触するように少なくとも1つの付加的なレベルの金属相互接続を形成するための方法
US6627484B1 (en) Method of forming a buried interconnect on a semiconductor on insulator wafer and a device including a buried interconnect
JP3581285B2 (ja) 位置合わせマークを含む集積回路の作製方法
US6087709A (en) Method of forming an integrated circuit having spacer after shallow trench fill and integrated circuit formed thereby
US10043824B2 (en) Semiconductor device including a vacuum gap and method for manufacturing the same
US7829400B2 (en) Semiconductor device fabrication method and semiconductor device
KR100313412B1 (ko) 집적회로용저항기의형성방법
US6074907A (en) Method of manufacturing capacitor for analog function
US20050073053A1 (en) Semiconductor devices having a capacitor and methods of manufacturing the same
US6664162B2 (en) Method of manufacturing capacitor
JPH09312331A (ja) 半導体装置及びその製造方法
JPH0870101A (ja) 集積回路コンデンサ構造およびその製造方法
US5847457A (en) Structure and method of forming vias
KR930022481A (ko) 다결정 실리콘 게이트를 평탄화하는 집적 회로 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20020513

Effective date: 20040228