KR940003082B1 - 다기능 스캔 플립플롭 - Google Patents

다기능 스캔 플립플롭 Download PDF

Info

Publication number
KR940003082B1
KR940003082B1 KR1019910006306A KR910006306A KR940003082B1 KR 940003082 B1 KR940003082 B1 KR 940003082B1 KR 1019910006306 A KR1019910006306 A KR 1019910006306A KR 910006306 A KR910006306 A KR 910006306A KR 940003082 B1 KR940003082 B1 KR 940003082B1
Authority
KR
South Korea
Prior art keywords
data
clock
scan
signal
flop
Prior art date
Application number
KR1019910006306A
Other languages
English (en)
Other versions
KR910019337A (ko
Inventor
소이찌 가와사끼
다까시 요시모리
게이지 마쯔모또
Original Assignee
가부시끼가이샤 도시바
아오이 죠이찌
도시바 마이크로 일렉트로닉스 가부시끼가이샤
다께다이 마사다까
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바, 아오이 죠이찌, 도시바 마이크로 일렉트로닉스 가부시끼가이샤, 다께다이 마사다까 filed Critical 가부시끼가이샤 도시바
Publication of KR910019337A publication Critical patent/KR910019337A/ko
Application granted granted Critical
Publication of KR940003082B1 publication Critical patent/KR940003082B1/ko

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • H03K3/0375Bistable circuits provided with means for increasing reliability; for protection; for ensuring a predetermined initial state when the supply voltage has been applied; for storing the actual state when the supply voltage fails

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

내용 없음.

Description

다기능 스캔 플립플롭
제1a, b 및 c도는 본 발명의 각각 다른 실시예의 개략을 도시한 블럭도.
제2도는 제1b도의 구체예를 도시한 회로도.
제3도는 제2도의 회로 동작을 설명하기 위한 타이밍 챠트.
제4도는 제1c도의 구체예를 도시한 회로도.
제5a, b 및 c도는 다른 실시예 및 그 일부의 변형예를 각각 도시한 회로도.
제6도는 일반적인 순서 회로의 블럭도.
제7도는 플립플롭을 이용한 제6도의 한 구체예의 블럭도.
제8도는 일반적인 플립플롭의 동작 타이밍을 도시한 타이밍 챠트.
* 도면의 주요부분에 대한 부호의 설명
1,3 : 지연 회로 2,4 : 선택 회로
36,60 : 3상태 버퍼 21-28 : 전달 게이트
101 : 기억 소자군 102 : 조합 회로
103 : 제1의 조합 회로 104 : 제2의 조합 회로
105 : 제3의 조합 회로
본 발명은 다기능 시캔 플립플롭 장치에 관한 것으로, 특히 반도체 논리 회로 전반의 테스트 용이화 기술의 하나인 스캔 테스트에 이용되는 전용 기억 소자로서 구성된 다기능 스캔 플립플롭에 관한 것이다.
먼저 일반적인 동기 회로의 데이타 유지 시간의 정의 및 그 검증방법을 제6도에 도시한 순서 논리 회로의 블럭도에 기초하여 설명한다. 제6도에 도시한 것처럼 외부 입력(IN)은 조합 회로(102)로 들어가 외부 출력(OUT)로서 출력된다. 조합 회로(102)에 조합되는 기억 소자군(101)에는 그것을 구동하는 클럭 신호로서의 특정 마스타 클럭(MCK)가 공급된다. 제6도에 도시한 바와 같이 동기 회로의 타이밍 특성에 관한 시험을 보자. 이 시험에 있어서는 특히 기억 소자군(101)에 관한 데이타 셋업 시간과 데이타 유지 시간이라는 타이밍 특성에 대해 검토할 필요가 있다.
제6도의 회로를 다시 일반적인 기억 소자인 플립플롭을 이용해서 구체적인 예를 도시한 것이 제7도의 회로이다. 제7도에 도시한 것처럼 제1의 조합 회로(103)에서의 데이타는 D형 플립플롭(FFI)(106)의 데이타 입력단(D)로 입력된다. FFI(106)의 데이타 출력단(Q)는 제2의 조합 회로(104)를 통해 D형 플립플롭(FFII)(107)의 데이타 입력단(D)에 접속된다. (FFII)(107)의 데이타 출력단(Q)는 제3의 조합회로(105)에 접속된다. 그리고, FFI, FFII(106,107)의 각각의 클럭 입력단(CK)에는 마스타 클럭(MCK)가 공급된다.
제7도에 있어서 기억 소자로서의 FFI, FFII(106,107)의 타이밍 특성을 검증하는 경우, 즉, 데이타 셋업시간 및 데이타 유지 시간을 검증하는 경우에 대해 검토한다. FFI, FFII(106,107) 자체에서 정해지는 데이타 셋업 시간(tsetup)과 데이타 유지 시간(thold)는 제8도의 타이밍 챠트에 나타낸다. 즉, D형 플립플롭(106,107)의 데이타 입력단(D)에 주어지는 신호(D1)는 D형 플립플롭(106,107)의 클럭 입력단(CK)에 주어지는 신호(CK1)에 대해 적어도 셋업 시간(Tsetup)만큼 앞의 시각(Ts)에는 확정되어 있을 필요가 있다. 또한, 클럭 입력(CK)에 대한 데이타 입력(D1)는 적어도 유지시간(Thold)만큼 후의 시각(Th)까지 변화해서는 안된다.
이상과 같은 타이밍 특성을 제7도에 도시한 동기 회로 전체에서 검증하는 경우에 대해 기술한다. 즉, 동기 신호인 클럭 신호의 주파수를 변화시킴으로써 셋업 타이밍에 어느 정도의 여유가 있는가를 검증할 수 있다. 즉, 클럭 신호의 주파수를 올려가면 이윽고 셋업 타이밍의 여유가 한계에 달한다. 이 한계에 달하면 플립플롭의 데이타 입력(D1)의 확정이 제8도의 시각(Ts) 이후로 되어 버린다. 따라서, 한계에 달한 것이 외부에서 검증 가능하다. 또한, 데이타 유지 시간에 대한 검증은 외부로부터는 불가능하다. 그 이유는 예를들어 D형 플립플롭(107)에 대한 데이타 유지 시간에 관한 타이밍 여유를 검증하고자 하는 경우에 대해 기술하면 다음과 같다. 즉, D형 플립플롭(107)의 데이타 입력단(D)로의 데이타 입력은 마스터 클럭(MCK)에 동기한다. 따라서, 클럭 신호의 주파수를 변화시켜도 클럭 신호에 대한 데이타 입력(D1)의 클럭 신호 이후의 데이타 보존 시간 조건을 변화시킬 수 없다. 이것이 검증할 수 없는 이유이다.
대규모 동기 논리 회로에서의 데이타 셋업 시간이나 데이타 유지 시간의 타이밍 여유도의 검증은 동작 안정성을 보증하기 위해 대단히 중요하다. 또한, 대규모 논리 집적 회로에 있어서는 미세화 기술이 점점 진행되고 있다. 따라서, 동기 신호인 클럭 신호를 타이밍 정밀도가 높게 분배하기가 곤란하게 되어 있다. 이것은 동기 논리 회로중의 각 기억 소자로의 클럭 신호의 변이(skew)가 발생하기 쉽게 되어 있다는 것을 의미한다. 이와 같은 관점에서도 타이밍 검증을 보다 확실하게 실시하는 것이 강력히 요망되고 있다. 그런데, 종래는 데이타 유지 시간의 검증 방법이 없어서 양산 공정이나 시장에서 타이밍 불량을 일으킬 가능성이 있었다. 타이밍 불량에 의해 회로 변경이나 개발의 재검토가 필요하게 되어 개발 계획이나 개발 비용에 다대한 영향이 있었다.
또한, 상기와 같은 스캔 플립플롭에 있어서 입력 데이타나 출력 데이타를 변환 가능하게 하는 것이 기능강화의 관점에서 요망된다.
본 발명은 상기 사항을 감안하여 된 것으로 그 목적은 동기식 논리 회로의 타이밍 검증을 확실히 실시할 수 있고, 또한 기능 강화된 다기능 스캔 플립플롭 장치를 제공하는 것이다.
본 발명의 제1의 다기능 스캔 플립플롭은 데이타가 가해지는 데이타 입력단, 클럭이 가해지는 클럭 입력단, 통상 기능시에 사용되는 통상 기능용 제1래치, 스캔 기능시에 사용되는 스캔 기능용 제2래치 및 , 상기 데이타 및 상기 클럭의 어느 한쪽을 다른 쪽보다도 상대적으로 지연시키는 제어 수단을 갖추어 구성된다.
본 발명의 제2의 다기능 플립플롭은 상기 제1의 다기능 플립플롭에 있어서 상기 제1 및 제2의 래치중 어느 하나에 테스트 데이타를 기입하는 데이타 기입 수단을 가지며, 상기 제어 수단은 상기 데이타 기입 수단에 의해 제1 또는 제2래치에 기입된 상기 테스트 데이타에 따라 동작하도록 구성된다.
본 발명의 제3의 다기능 플립플롭은 상기 제1 또는 제2의 다기능 플립플롭에 있어서 상기 제어 수단이 상기 클럭을 데이타보다 지연시키는 것으로 구성된다.
본 발명의 제4의 다기능 플립플롭은 제1 또는 제2의 다기능 플립플롭에 있어서 상기 제어 수단이 상기 데이타를 상기 클럭보다 지연시키는 것으로 구성된다.
본 발명의 제5의 다기능 플립플롭은 복수의 데이타가 가해지는 데이타 입력단, 클럭이 가해지는 클럭 입력단, 통상 기능시에 사용되는 통상 기능용 제1래치, 스캔 기능시에 사용되는 스캔 기능용 제2래치, 상기 제1 및 제2래치 중 어느 하나에 변환 데이타를 기입하는 데이타 기입 수단, 및 상기 데이타 기입 수단에 기입된 변환 데이타에 따라 상기 데이타 입력단에 가해지는 상기 복수의 데이타중 하나를 선택하는 입력 선택 수단을 갖추어 구성된다.
본 발명의 제6의 다기능 플립플롭은 데이타가 가해지는 데이타 입력단, 클럭이 가해지는 클럭 입력단, 통상 기능시에 사용되는 통상 기능용 제1래치, 스캔 기능시에 사용되는 스캔 기능용 제2래치, 상기 제1 및 제2래치 중 어느 하나에 변환 데이타를 기입하는 데이타 기입 수단, 및 상기 데이타 입력 수단에 기입된 변환 데이타에 따라 출력단에서 출력되는 Q 출력과출력을 변환시키는 출력 변환 수단을 구비하여 구성된다.
제어 수단에 의해 데이타가 클럭에 대해 빨라지거나 또는 역으로 클럭이 데이타에 대해 늦어진다. 이 제어 수단은 통상 기능용 제1래치와 스캔 기능용 제2래치 중 어느 하나에 데이타 기입 수단에 의해 기입된 테스트 데이타에 기초하여 동작한다.
또 제1 또는 제2래치에 데이타 기입 수단에 의해 변화 데이타가 기입된다. 이 변환 데이타에 기초하여 복수의 입력 데이타중의 하나가 선택되거나 출력(Q)와 ()로 변환된다.
이하 도면을 참조해서 본 발명의 실시예를 설명한다.
제1a도는 본 발명의 한 실시예의 블럭도이다. 여기서는 특히 데이타 셋업/유지 시간의 테스트 기능이 부착된 플립플롭의 기능 블럭을 도시하고 있다. 제1a도에 도시한 것처럼, 데이타 입력(D1)는 지연 회로(1)을 통해서 및 집적 선택 회로(2)로 주어진다. 선택 회로(2)는 이들 2개의 신호중 어느 하나를 선택해서 D형 플립플롭(5)의 데이타 입력단(D)로 공급한다. 한편, 클럭 입력(CK1)는 지연 회로(3)을 통해서 및 직접 선택 호로(4)에 가해진다. 선택 회로(4)는 이들 2개의 입력 중 어느 하나를 선택해서 D형 플립플롭(5)의 클럭 입력단(CK)으로 공급한다. D형 플립플롭(5)의 데이타 출력(Q)는 데이타 출력(Qo)로서 나온다. 또한, 선택 회로(2)에는 신호(C2)가, 선택 회로(4)에는 신호(C1)이 각각 제어 신호로서 부여된다.
일반적으로, 플립플롭(FF)의 데이타의 셋업 시간이나 데이타 유지 시간의 테스트는 데이타 또는 클럭 신호의 타이밍을 외부에서 변화시켜 플립플롭이 정상 동작하는 아닌가를 실시한다. FF를 대규모 집적 회로중에 수납한 경우 내부에 수납된 FF를 외부에서 직접 제어할 수 없다. 제1a도에 있어서의 셋업 시간 또는 데이타 유지 시간의 테스트를 행하는데 데이타 라인 또는 클럭 라인에 미리 정한 규정 시간만큼 신호를 지연시켜 이 경우에 있어서도 플립플롭이 정상으로 동작하는가 아닌가를 판정하도록 하고 있다.
예를들어 데이타 유지 시간의 테스트를 행하는데는 데이타 입력(D1)에 대해 클럭 신호(CK1)를 상대적으로 지연시키면 된다. 이 방법은 두가지가 있다. 하나는 먼저 신호(C2)에 의해 선택 회로(2)에서 입력단(b)을 선택하고, 신호(C1)에 의해 선택 회로(4)에서 입력단(d)를 선택한다. 그리고 테스트시에 신호9C1)에 의해 선택 회로(4)에서 입력단(C)를 선택한다. 따라서 클럭 신호(CK1)는 지연 회로(3)에 의해 규정된 시간만큼 지연되어 입력된다. 두번째 방법은 통상적으로 선택 회로(2,4)에서 각각 입력단(a,c)를 각각 선택한다. 그리고 테스트시에 신호(C2)에 의해 선택 회로(2)에서 입력단(b)를 선택한다. 따라서, 데이타 입력(D1)는 클럭 신호(CK1)에 대해 규정 시간만큼 빨리 입력된다.
또한, 데이타 셋업 시간의 테스트를 행하는 경우에는 상기와 반대로 데이타 입력(D1)에 대해 클럭 신호(CK1)를 상대적으로 빨리하면 좋다. 이 방법에도 두가지가 있다. 하나는 선택 회로(2,4)에서 각각 입력단(a,c)를 선택한다. 테스트시에 선택 회로(4)에서 입력단(d)를 선택한다. 따라서 클럭 신호(CK1)의 입력이 빨라진다. 두번째 방법은 선택 회로(2,4)에서 입력단(b,d)를 각각 선택한다. 테스트시에 선택 회로(2)에서 입력단(a)를 선택한다.
데이타 입력(D1)에 대해 클럭 신호(CK1)를 상대적으로 지연 시키는데도 제1b 및 c도와 같이 할 수도 있다. 제1b도는 클럭(CK1)를 늦게 하고, 제1c도는 데이타 입력(D1)를 늦도록 한 것이다.
제2도는 제1b도를 반도체 집적 회로상에 구체화한 경우의 회로예를 나타낸다. 제2도에서는 특히 스캔 플립플롭에 의해 통상의 동작시에 사용하지 않는 스캔용 래치에서 제어 신호를 가지고 와서 데이타 유지 시간의 테스트를 가능하게 한 예를 나타낸 것이다. 이 실시예세서는 클럭 신호를 통상 상태에서 규정된 시간만큼 지연시킬 수 있도록 하여 데이타 유지 시간의 테스트가 가능하도록 했다.
제2도에 도시한 것처럼 데이타 입력(D1)는 인버터(11), 전달 게이트(21), 인버터(13), 전달 게이트(25) 및 인버터(15,16)을 통해 데이타 출력(Q)로 된다. 전달 게이트(21)에는 클럭()가, 전달 게이트(25)에는 클럭(φ)가 각각 공급된다. 인버터(13)의 출력은 인버터(14) 및 전달 게이트(24,23)을 통해 인버터(13)의 입력으로 접속되어 통상 동작용 래치 회로를 형성하고 있다. 전달 게이트(24)에는 제어 신호(A)가, 전달 게이트(23)에는 클럭(φ)가 각각 공급된다. 한편, 인버터(15)의 출력은 인버터(17) 및 전달 게이트(26)을 통해 인버터(15)의 입력으로 접속되어 통상 동작용 래치(NL)을 형성하고 있다. 전달 게이트(26)에는 클럭()가 공급된다. 또한, 인버터(17)의 출력은 인버터(18)을 통해 데이타 출력()로 되어 있다. 스캔 데이타 신호(SI)는 인버터(12)와 전달 게이트(22)를 통해 전달 게이트(23,24)의 접속점으로 입력된다. 전달 게이트(22)에는 제어 신호()가 공급된다. 한편, 인버터(15)의 출력은 전달 게이트(27) 및 인버터(19)를 통해 스캔 데이타 신호(SO)로서 취출된다. 인버터(19)의 출력은 인버터(20) 및 전달 게이트(28)을 통해서 인버터(19)에 입력으로 가해져서 스캔용 래치(SL)를 구성한다. 전달 게이트(28)에는 제어 신호()가, 전달 게이트(27)에는 제어 신호(B)가 각각 공급된다.
제어 신호(A)는 인버터(31)을 통해 제어 신호()로서 회로내에 공급된다. 인버터(31)의 출력은 다시 인버터(33)을 통해 제어 신호(A)로서 회로내로 공급된다. 한편, 제어 신호(B)는 인버터(32)를 통해 제어 신호()로서 회로내에 공급된다. 인버터(32)의 출력은 다시 인버터(34)를 통해 제어 신호(B)로서 회로내로 공급된다. 또 스캔 데이타 신호(SO)는 직접 및 인버터(35)를 통해서 3 상태 버퍼(36)의 트랜지스터(T2,T3)로 각각 입력된다. 클럭(φ)는 버퍼(36)의 트랜지스터(T1,T4)로 입력되고 또 인버터(37)로 입력된다. 버퍼(36)의 출력은 인버터(38)에 가해진다. 인버터(38)의 입력단과 인버터(37)의 출력단은 서로 접속되어 있다. 인버터(38,37)의 출력단에서 클럭(φ,)가 각각 출력된다. 이들의 클럭(φ,)가 회로내로 공급된다. 제2도에는 이들 신호 흐름의 일부만을 예시하고 있다.
상기 제2도에 있어서는 3 상태 버퍼(36) 및 인버터(35,37,38)에 의해 제1a도의 지연 회로와 선택 회로를 구성하고 있다. 그리고 스캔 데이타 신호(SO)가 L 상태인 경우, 등가적으로는 클럭(φ)가 상호 병렬인 3 상태 버퍼(36) 및 인버터(37)의 각각에서 공급되게 된다. 따라서, 클럭의 드라이브 능력이 증가한다. 이것은 클럭(φ)를 지연 회로를 통하지 않고 공급하는 경우 대응한다. 또한, 스캔 데이타 신호(SO)가 H 상태인 경우, 3 상태 버퍼(36)이 3 상태중 중간 상태로 된다. 따라서, 클럭(φ)이 인버터(37)에서만 공급되게 된다. 이때는 클럭(φ)의 드라이브 능력이 감소한다. 이것은 클럭(φ)을 지연 회로를 통해서 공급하는 경우에 대응한다. 즉, 통상, 상태시에는 스캔 데이타 신호(SO)를 L 상태로 하여 지연이 없는 클럭(φ)을 회로내에 공급하고, 데이타 유지 테스트시에는 스캔 데이타 신호(SO)를 H 상태로 해서 지연되는 클럭(φ)를 회로내로 공급한다.
다음에 제2도의 구성의 동작을 제3도의 타이밍 챠트에 따라 설명한다. 제3도의 (a)는 클럭(φ), (b)는 데이타 입력(D1), (c)는 데이타 출력(Q), (d)는 제어 신호(a), (e)는 제어 신호(b), (f)는 스캔 데이타 신호(SI), (g)는 스캔 데이타 신호(SO), (h)는 동작 모드를 각각 나타낸다.
먼저 시각(to)까지의 설정 모드에서는 클럭(φ)를 H상태로 하고, 제어 신호(A)를 L 상태로 하고, 제어 신호(B)를 H 상태로 한다. 그 결과, 스캔 데이타 신호(SI)가 가해지는 입력측에서 스캔 데이타 신호(SO)가 출력되는 출력측까지로 구성되는 스캔 플립플롭부가 인버터(12), 전달 게이트(22), 전달 게이트(23), 인버터(13), 전달 게이트(25), 인버터(15), 전달 게이트(27) 및 인버터(19)를 통과한다. 따라서, 스캔 데이타 신호(SI)에서의 L 데이타가 스캔 데이타 신호(SO)로서 설정된다.
다음에 시각(to)에서 제어 신호(A)를 H 상태, 제어 신호(B)를 L 상태로 한다. 따라서, 스캔 데이타 신호(SO)(L 데이타)를 인버터(20), 전달 게이트(28), 및 인버터(19)로 구성되는 스캔용 래치(SL)에 L 상태로 유지시킬 수 있고 따라서 통상의 동작 상태로 된다.
이 상태에서 시각(t1-t5)의 사이에 클럭(φ)를 입력하면 시각(t2)에서 H 상태로 되고 시각(t4)에서 L 상태로 되는 데이타 입력(D1)가 각각 시각(t3,t5)에 있어서 클럭(φ)의 상승에 동기해서 인버터(17), 전달 게이트(26) 및 인버터(15)로 구성되는 통상 동작용 래치(NL)에 기억되어 데이타 출력(Q)로 송출된다.
다음에 시각(t6)에서 스캔 데이타 신호(SI)를 H 상태로 함으로써 스캔 시프트 모드로 된다. 이 상태에서 시각(t7)에서 제어 신호(A)를 L 상태로 함으로써 스캔 데이타 신호(SI)가 인버터(12), 전달 게이트(22,23), 인버터(13), 전달 게이트(25), 인버터(15), 및 인버터(16)을 통해 데이타 출력(Q)로 된다.
다음에 시각(t8)에서 제어 신호(A)를 H 상태로 해도 스캔 데이타 신호(SI)는 인버터(14), 전달 게이트(24), 전달 게이트(23) 및 인버터(13)의 계(S1)에서 래치된다. 따라서, 다음의 시각(t9)에서 스캔 데이타 신호(SI)를 L 상태로 해도 데이타 출력(Q)의 H 상태는 변화하지 않는다.
다음에 시각(t10)에서 제어 신호(B)를 H 상태로 하면 데이타 출력(Q)의 H 상태가 전달 게이트(27) 및 인버터(19)의 계(S2)로 전해져서 스캔 데이타 신호(SO)가 H 상태로 된다.
다음에 시각(t11)에서 제어 신호(B)를 L 상태로 해도 스캔 데이타 신호(SO)는 인버터(20), 전달 게이트(28), 및 인버터(19)의 계(래치 SL)에서 래치되어 H상태로 유지된다. 그래서 시각(t12)에서 시각(t13) 사이의 제어 신호(A)를 L 상태로 한다. 따라서 스캔 데이타 신호(SI)를 받으면 이 시점에서 스캔 데이타 신호(SI)가 L 상태에 있으므로 데이타 출력(Q)에는 L 상태가 출력된다. 그러나 스캔 데이타 신호(SO)는 래치(SL)에서 래치되어 있으므로 H 상태로 유지된다.
다음에 시각(t14)에서 스캔 데이타 신호(SI)를 H 상태로 하고, 시각(t15)에서 제어 신호(B)를 H 상태로 해도 데이타 출력(Q)는 L 상태에 있으므로 스캔 데이타 신호(SO)는 L 상태로 된다. 이 상태는 시각(t16)에서 제어 신호(B)를 L 상태로 한 시점에서 래치된다.
이와 같이 해서 스캔 시프트 모드는 클럭(φ)를 H 상태로 한채 제어 신호(A)로서 L 펄스를, 제어 신호(B)로서 H 펄스를 각각 교대로 입력함으로써 실시된다.
다음의 시각(t17)-시각(t18)은 데이타 유지 시간의 테스트를 위한 설정 모드로 된다. 시각(t17)에서 제어 신호(A)를 L 상태로 하고 제어 신호(B)를 H 상태로 한다. 그 결과, H 상태의 스캔 데이타 신호(SI)가 인버터(12), 전달 게이트(22), 전달 게이트(23), 인버터(13), 전달 게이트(25), 인버터(15), 및 인버터(16)을 통해 데이타 출력(Q)로서 출력되고 또 전달 게이트(27) 및 인버터(19)를 통해 스캔 데이타 신호(SO)로서 전달된다. 데이타 출력(Q) 및 스캔 데이타 신호(SO)는 시각(t18)의 시점에서 제어 신호(A)를 H 상태, 제어 신호(B)를 L 상태로 되돌린 시점에서 래치된다. 그 결과, 데이타 출력(Q) 및 스캔 데이타 신호(SO)는 H 상태로 된다. 시각(t18) 이후에 있어서는 데이타 유지 테스트 상태로 되고, 스캔 데이타 신호(SO)가 H 상태이기 때문에 클럭(φ)는 지연 시간을 두고 회로로 공급된다.
다음의 시각(t19)에서 클럭(φ)가 상승하면 L상태의 데이타 입력(D)가 데이타 출력(Q)로서 출력된다. 그래서 시각(t20)의 시점에서 데이타 입력(D1)가 H 상태로 되고, 시각(t22)의 시점에서 데이타 입력(D1)가 L 상태로 되면, 데이타 출력(Q)는 클럭(φ)의 시각(t21)의 상승과 시각(t23)의 상승 사이에서 보존된다.
데이타 유지 시간의 테스트는 클럭(φ)가 사용에 따른 지연 시간은 갖는 회로를 통해 공급된다. 따라서 플립플롭은 유지 동작이 혹독한 상태에서 동작하게 된다. 이 상태에서 플립플롭이 정상 동작하면 플립플롭의 유지 동작에 대해서 마진이 충분한 것으로 판명된다.
제4도는 제1c도의 구체예를 나타낸 것이다. 이 예에서는 통상 동작시에는 사용하지 않는 스캔용의 래치(SL)에서 제어 신호를 가지고 와서 데이타 유지 시간의 테스트를 가능하게 한 것이다. 제4도에서는 신호의 지연을 데이타 입력 신호(D1)에 적용하고 있고, 스캔 데이타 신호(SO)가 3상태 버퍼(60)의 트랜지스터(T12)에 직접 입력되고, 또 인버터(41)을 통해 트랜지스터(T13)으로 입력된다. 3상태 버퍼(60)을 데이타 입력단(D)에 접속되는 인버터(11)에 병렬 접속함으로써 데이타 입력 신호(D)의 전달 시간에 차를 둘 수 있다. 그래서 통상 동작시에는 스캔 데이타 신호(SO)를 H 상태로 해서 데이타 입력 신호(D)를 인버터(11)만을 통해서 지연 시간을 갖고 받도록 한다. 또 데이타 유지 테스트 시에는 스캔 데이타 입력 신호(SO)를 L 상태로 하고, 3상태 버퍼(60)을 동작 상태로 하여 데이타 입력 신호(D1)를 지연시간 없이 받아들이도록 한다. 제4도에서는 통상 동작시에 데이타 입력(D)에 지연이 생기므로 고속 동작을 요구하는 시스템에의 적용이 제한된다.
제5a도는 데이타 입력(D1,D2)를 변환하도록 한 스캔 FF의 구체예를 도시한 것이다. 이 예에서는 통상 동작시에 사용하지 않는 스캔용 래치의 상태를 사용하고 있다. 즉, 본 실시예에서는 통상 동작시에 사용하지 않는 스캔용의 래치(SL)에서의 스캔 데이타 신호(SO)를 변환 신호로서 사용해서 2계통의 입력 데이타(D1,D2)를 인버터(71), 앤드(AND) 게이트(81,82) 및 난드(NAND) 게이트(83)으로 구성되는 선택 회로(SC)에서 선택하여 기억할 수 있도록 했다. 이 예에서는 스캔용 래치(SL)의 출력인 스캔 데이타 신호(SO)는 스캔 데이타 신호(SI)에 의해 설정 가능하고, 동작 모드의 설정 후에 소정의 동작을 실행시키면 된다.
데이타의 변환을 통상 동작에서 사용하는 출력 신호(Q,)의 변환이나 테스트 신호의 변환이라도 좋다.
제5b도는 출력 신호(Q,)의 변환, 제5c도는 테스트 신호(스캔 데이타 신호)(SI1,SI2)의 변환을 행하는 경우의 회로예를 도시하고, 각각 제5a도의 VA, VB 부분에 대응하는 회로를 나타낸다.
제4도 및 제5a도에 있어서는 각 신호(φ,,A,,B,)의 흐름의 일부만을 도시한다.
후술하는 특허청구의 범위의 각 구성요소에 병기한 도면 참고부호는, 본 발명의 이해를 용이하게 하기 위한 것으로, 본 발명의 기술적 범위를 도면에 나타낸 실시예에 한정하는 의도로 병기한 것은 아니다.
이상 기술한 것처럼 본 발명에 따르며뉴 대규모 논리 집적 회로에 있어서 종래 기술로는 대단히 곤란했던 데이타 유지 시간의 타이밍 여유도의 검증이 가능해지고, 스캔 시프트용의 플립플롭을 이용해서 간단히 타이밍 검증을 실시할 수 있어서 타이밍 여유도의 확인을 조기에 행할 수 있다. 또, 입력 또는 출력의 변환을 행할 수 있는 다기능 스캔 플립플롭 장치를 얻을 수 있다.

Claims (6)

  1. 데이타가 가해지는 데이타 입력단(D), 클럭이 가해지는 클럭 입력단(CK), 통상 기능시에 사용되는 통상 기능용 제1래치(210,211), 스캔 기능시에 사용되는 스캔 기능용 제2래치(212), 및 상기 데이타 및 클럭 중 어느 하나를 다른 것보다 상대적으로 지연시키는 제어 수단(200,201,202)를 포함하는 것을 특징으로 하는 다기능 스캔 플립플롭.
  2. 제1항에 있어서, 상기 제1 및 제2래치 중 어느 하나에 테스트 데이타를 기입하는 데이타 기입 수단(220)을 포함하고, 상기 제어 수단이 데이타 기입 수단에 의재 제1 또는 제2래치에 기입된 상기 테스트 데이타에 따라 동작하는 것을 특징으로 하는 다기능 스캔 플립플롭.
  3. 제1 또는 제2항에 있어서, 상기 제어 수단이 상기 클럭을 상기 데이타보다 지연시키는 것을 특징으로 하는 다기능 스캔 플립플롭.
  4. 제1 또는 제2항에 있어서, 상기 제어 수단이 상기 데이타를 상기 클럭보다 지연시키는 것을 특징으로 하는 다기능 플립플롭.
  5. 복수의 데이타가 가해지는 데이타 입력단(D), 클럭이 가해지는 클럭 입력단(CK), 통상 기능시에 사용되는 통상 기능용 제1래치(210,211), 스캔 기능시에 사용되는 스캔 기능용 제2래치(212) 상기 제1 및 제2래치 중 어느 하나에 변환 데이타를 기입하는 데이타 기입 수단(221), 및 상기 데이타 기입 수단에 기입된 변환 데이타에 따라 상기 데이타 입력단에 가해지는 상기 복수의 데이타 중 하나를 선택하는 입력 선택 수단(203)을 포함하는 것을 특징으로 하는 다기능 스캔 플립플롭.
  6. 데이타가 가해지는 데이타 입력단(D), 클러이 가해지는 클럭 입력단(CK), 통상 기능시에 사용되는 통상 기능용 제1래치(210,211), 스캔 기능시에 사용되는 스캔 기능용 제2래치(212), 상기 제1 및 제2래치 중 어느 하나에 변환 데이타를 기입하는 데이타 기입 수단(221), 및 상기 데이타 기입 수단에 기입된 변환 데이타에 따라 출력단에서 출력되는 Q 출력 및출력을 변환하는 출력 변환 수단(204)를 포함하는 것을 특징으로 하는 다기능 스캔 플립플롭.
KR1019910006306A 1990-04-20 1991-04-19 다기능 스캔 플립플롭 KR940003082B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP02-104520 1990-04-20
JP2-104520 1990-04-20
JP2104520A JP2614345B2 (ja) 1990-04-20 1990-04-20 スキャンフリップフロップ

Publications (2)

Publication Number Publication Date
KR910019337A KR910019337A (ko) 1991-11-30
KR940003082B1 true KR940003082B1 (ko) 1994-04-13

Family

ID=14382769

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910006306A KR940003082B1 (ko) 1990-04-20 1991-04-19 다기능 스캔 플립플롭

Country Status (3)

Country Link
US (1) US5175447A (ko)
JP (1) JP2614345B2 (ko)
KR (1) KR940003082B1 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0785101B2 (ja) * 1991-03-20 1995-09-13 株式会社東芝 論理信号検査方法及び検査装置
JPH05199080A (ja) * 1992-01-17 1993-08-06 Sony Corp 相補型論理回路
US5384494A (en) * 1993-04-13 1995-01-24 Hughes Aircraft Company Programmable hold-off for integrated circuit I/O pins
US5463338A (en) * 1993-06-07 1995-10-31 Vlsi Technology, Inc. Dual latch clocked LSSD and method
US5416362A (en) * 1993-09-10 1995-05-16 Unisys Corporation Transparent flip-flop
JPH07131298A (ja) * 1993-11-04 1995-05-19 Nec Corp 半導体集積回路装置
JP2882272B2 (ja) * 1994-02-17 1999-04-12 日本電気株式会社 ラッチ回路
US5444404A (en) * 1994-03-03 1995-08-22 Vlsi Technology, Inc. Scan flip-flop with power saving feature
GB2288666B (en) * 1994-04-12 1997-06-25 Advanced Risc Mach Ltd Integrated circuit control
US5552737A (en) * 1994-07-11 1996-09-03 International Business Machines Corporation Scannable master slave latch actuated by single phase clock
TW418329B (en) * 1994-08-24 2001-01-11 Ibm Integrated circuit clocking technique and circuit therefor
US5469079A (en) * 1994-09-13 1995-11-21 Texas Instruments Incorporated Flip-flop for use in LSSD gate arrays
JP2734394B2 (ja) * 1995-01-27 1998-03-30 日本電気株式会社 半導体集積回路装置
US5493240A (en) * 1995-03-01 1996-02-20 International Business Machines Corporation Static combinatorial logic circuits for reversible computation
US5867409A (en) * 1995-03-09 1999-02-02 Kabushiki Kaisha Toshiba Linear feedback shift register
US5880595A (en) * 1995-04-28 1999-03-09 Texas Instruments Incorporated IC having memoried terminals and zero-delay boundary scan
US5633606A (en) * 1995-05-25 1997-05-27 National Semiconductor Corporation Scan flip-flop that holds state during shifting
US5854565A (en) * 1995-10-06 1998-12-29 Qualcomm Incorporated Low power latch requiring reduced circuit area
US5783960A (en) * 1995-11-28 1998-07-21 International Business Machines Corporation Integrated circuit device with improved clock signal control
US5640114A (en) * 1995-12-27 1997-06-17 Vlsi Technology, Inc. Versatile select and hold scan flip-flop
JP3478033B2 (ja) * 1996-12-30 2003-12-10 ソニー株式会社 フリップフロップ回路
JPH10242809A (ja) * 1997-02-26 1998-09-11 Nec Corp スキャン用フリップフロップ回路
US6023767A (en) * 1997-05-05 2000-02-08 Intel Corporation Method for verifying hold time in integrated circuit design
US5878055A (en) * 1997-12-09 1999-03-02 International Business Machines Corporation Method and apparatus for verifying a single phase clocking system including testing for latch early mode
US6405335B1 (en) 1998-02-25 2002-06-11 Texas Instruments Incorporated Position independent testing of circuits
KR100348303B1 (ko) * 1999-11-09 2002-08-10 주식회사 하이닉스반도체 다양한 클럭신호에 의한 클럭신호간 딜레이보상방법
US6728915B2 (en) 2000-01-10 2004-04-27 Texas Instruments Incorporated IC with shared scan cells selectively connected in scan path
US6606720B1 (en) 2000-02-22 2003-08-12 Hewlett-Packard Development Company Scan structure for CMOS storage elements
US6769080B2 (en) 2000-03-09 2004-07-27 Texas Instruments Incorporated Scan circuit low power adapter with counter
US6501236B1 (en) 2000-09-28 2002-12-31 Tim Simon, Inc. Variable switch with reduced noise interference
JP2003068855A (ja) * 2001-08-24 2003-03-07 Fujitsu Ltd 半導体集積回路のレイアウト方法
KR100604852B1 (ko) * 2004-05-15 2006-07-31 삼성전자주식회사 제어신호 발생기, 스캔 기능을 수행하는 래치회로, 및상기 펄스 발생기와 상기 래치를 구비하는 플립플롭
JP4906030B2 (ja) * 2004-10-15 2012-03-28 川崎マイクロエレクトロニクス株式会社 テスト回路およびテスト方法
US7496809B2 (en) * 2005-06-10 2009-02-24 Stmicroelectronics Pvt. Ltd. Integrated scannable interface for testing memory
US20070208979A1 (en) * 2006-01-13 2007-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Split clock scan flip-flop
JP2009222558A (ja) * 2008-03-17 2009-10-01 Nec Computertechno Ltd スキャン用フリップフロップ回路
JP2010019662A (ja) * 2008-07-10 2010-01-28 Nec Electronics Corp テスト方法及び半導体集積回路
US8516316B2 (en) 2009-03-26 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for diagnosing an integrated circuit
US8803581B2 (en) * 2009-04-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fast flip-flop structure with reduced set-up time
US8866527B1 (en) 2010-04-02 2014-10-21 Altera Corporation Integrated circuits with hold time avoidance circuitry
KR20120100385A (ko) * 2011-03-04 2012-09-12 삼성전자주식회사 플립-플롭 및 이를 포함하는 반도체 장치
US8970274B2 (en) * 2012-06-08 2015-03-03 Mediatek Singapore Pte. Ltd. Pulse latches
US9188640B1 (en) 2014-05-19 2015-11-17 Freescale Semiconductor, Inc. Scan flip-flop circuit with LOS scan enable signal
CN105306017B (zh) * 2015-12-04 2018-09-14 上海兆芯集成电路有限公司 信号产生电路以及工作周期调整电路

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540903A (en) * 1983-10-17 1985-09-10 Storage Technology Partners Scannable asynchronous/synchronous CMOS latch
JPH0782071B2 (ja) * 1984-12-24 1995-09-06 株式会社日立製作所 故障診断機能を有する論理回路
JPH0648779B2 (ja) * 1985-07-18 1994-06-22 富士通株式会社 フリップフロップ回路
JPS63280514A (ja) * 1987-05-12 1988-11-17 Mitsubishi Electric Corp 論理集積回路
US4961013A (en) * 1989-10-18 1990-10-02 Hewlett-Packard Company Apparatus for generation of scan control signals for initialization and diagnosis of circuitry in a computer
US5003204A (en) * 1989-12-19 1991-03-26 Bull Hn Information Systems Inc. Edge triggered D-type flip-flop scan latch cell with recirculation capability

Also Published As

Publication number Publication date
US5175447A (en) 1992-12-29
KR910019337A (ko) 1991-11-30
JPH042977A (ja) 1992-01-07
JP2614345B2 (ja) 1997-05-28

Similar Documents

Publication Publication Date Title
KR940003082B1 (ko) 다기능 스캔 플립플롭
KR100257415B1 (ko) 스캐너블 플립플롭 회로 및 이 스캐너블 플립플롭 회로에서 이용되는 방법
JP2522140B2 (ja) 論理回路
US5459736A (en) Scan path circuit for testing multi-phase clocks from sequential circuits
US6216256B1 (en) Semiconductor integrated circuit and method of designing the same
US8644439B2 (en) Circuits and methods for signal transfer between different clock domains
KR100498473B1 (ko) 제어신호 발생회로 및 상기 제어신호 발생회로를 구비하는데이터 전송회로
US8536918B2 (en) Flip-flop circuit, scan test circuit, and method of controlling scan test circuit
US5440569A (en) Flip-flop circuits for testing LSI gate arrays
US5848075A (en) Test device employing scan path having circuitry at switches between a scan in signal transmitted and previously held at a predetermined clock timing
US6348828B1 (en) Clock enable circuit for use in a high speed reprogrammable delay line incorporating glitchless enable/disable functionality
JPH0645879A (ja) フリップフロップ
JPH05189517A (ja) シミュレーション回路
JP2653945B2 (ja) 半導体集積回路
JP2646561B2 (ja) クロック分配回路
JP3133395B2 (ja) D型フリップフロップのデータ交換装置
JPH0261569A (ja) シリアルシフトレジスタ
JP2000227456A (ja) スキャンフリップフロップ
JPH06160479A (ja) 半導体論理集積回路
JP3222251B2 (ja) 半導体集積回路装置のテスト補助回路
KR0145789B1 (ko) 바운더리 스캔 구조의 테스트 클럭 발생 장치
JPH06118138A (ja) テスト回路
JPH0746123B2 (ja) 集積回路の試験方式
JP2000310671A (ja) スキャンフリップフロップ
JPH1194913A (ja) スキャンパス用フリップフロップ回路及びスキャンパステストシステム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030401

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee