KR930001483A - 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법 - Google Patents

절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법 Download PDF

Info

Publication number
KR930001483A
KR930001483A KR1019920011088A KR920011088A KR930001483A KR 930001483 A KR930001483 A KR 930001483A KR 1019920011088 A KR1019920011088 A KR 1019920011088A KR 920011088 A KR920011088 A KR 920011088A KR 930001483 A KR930001483 A KR 930001483A
Authority
KR
South Korea
Prior art keywords
forming
gate
region
doped
drain
Prior art date
Application number
KR1019920011088A
Other languages
English (en)
Other versions
KR100274555B1 (ko
Inventor
엠. 모슬레이 메르다드
Original Assignee
윌리엄 이. 힐러
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 이. 힐러, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 윌리엄 이. 힐러
Publication of KR930001483A publication Critical patent/KR930001483A/ko
Application granted granted Critical
Publication of KR100274555B1 publication Critical patent/KR100274555B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28105Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

내용 없음

Description

절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 양호한 실시예의 트랜지스터의 단면도.
제2도 내지 제12도는 본 발명의 제1실시예에 따른 NMOS트랜지스터의 제조 단계를 도시한 단면도.
제13도 내지 제18도는 본 발명의 제2실시예에 따른 NMOS트랜지스터의 제조 단계를 도시한 단면도.

Claims (29)

  1. 반도체 기판, 농후하게 도프된 영역, 제1의 선택된 도핑 농도 및 접합 깊이를 갖는 제1의 희박하게 도프된 영역 및 제2의 선택된 도핑 농도 및 접합 깊이를 갖는 제2의 희박하게 도프된 영역을 포함하는 드레인 영역, 소오스 영역, 상기 소오스 영역과 상기 드레인 영역을 분리하는 채널 영역, 상기 채널 영역, 상기 제2의 희박하게 도프된 드레인 영역 및 상기 제2의 희박하게 도프된 소오스 영역 위에 형성된 절연층 및 상기 절연층 위에 형성된 게이트를 포함하고, 상기 제1의 선택된 도핑 농도 및 접합 깊이가 상기 제2의 선택된 도핑 농도 및 접합 깊이에 무관하게 제어될 수 있는 것을 특징으로 하는 절연 게이트 전계 효과 트랜지스터.
  2. 제1항에 있어서, 상기 소오스 영역이 농후하게 도프된 영역, 상기 제1의 선택된 도핑 농도 및 접합 깊이를 갖는 제1의 희박하게 도프된 영역 및 상기 제2의 선택된 도핑 농도 및 접합 깊이를 갖는 제2의 희박하게 도프된 영역을 포함하는 것을 특징으로 하는 트랜지스터.
  3. 제2항에 있어서, 상기 소오스의 상기 농후하게 도프된 부분위에 형성되어 융기된 소오스 영역 및 상기 드레인의 상기 희박하게 도프된 부분위에 형성되어 융기된 드레인 영역을 더 포함하는 것을 특징으로 하는 트랜지스터.
  4. 제2항에 있어서, 상기 게이트에 인접하여 형성된 제1 및 제2의 측벽 스페이서들을 더 포함하고, 상기 제1의 측벽 스페이서가 상기 소오스의 상기 제2의 희박하게 도프된 부분 위에 형성되며, 상기 제2의 측벽 스페이서가 상기 드레인의 상기 제2의 희박하게 도프된 부분위에 형성되는 것을 특징으로 하는 트랜지스터.
  5. 제1항에 있어서, 상기 게이트내에 형성된 절연 영역을 더 포함하는 것을 특징으로 하는 트랜지스터.
  6. 제1항에 있어서, 상기 게이트가 폴리실리콘으로 이루어지는 것을 특징으로 하는 트랜지스터.
  7. 제6항에 있어서, 상기 게이트내에 형성된 매립된 이산화 실리콘을 더 포함하는 것을 특징으로 하는 트랜지스터.
  8. 제1항에 있어서, 필드 산화물 및 상기 기판상의 다른 트랜지스터들로부터 이 트랜지스터를 분리시키기 위해 상기 기판내에 형성된 채널 정지부를 더 포함하는 것을 특징으로 하는 트랜지스터.
  9. 반도체 기판을 제공하는 단계, 농후하게 도프된 영역, 제1의 선택된 도핑 농도 및 접합 깊이를 갖는 제1의 희박하게 도프된 영역 및 제2의 선택된 도핑 농도 및 접합 깊이를 갖는 제2의 희박하게 도프된 영역을 포함하는 드레인 영역을 형성하는 단계, 농후하게 도프된 영역, 상기 제1의 선택된 도핑 농도 및 접합 깊이를 갖는 제1의 희박하게 도프된 영역 및 제2의 선택된 도핑 농도 및 접합 깊이를 갖는 제2의 희박하게 도프된 영역을 포함하는 소오스 영역을 형성하는 단계, 상기 소오스 영역과 상기 드레인 영역을 분리시키는 채널 영역, 상기 제2의 희박하게 도프된 드레인 영역 및 상기 제2의 희박하게 도프된 소오스 영역 위에 형성된 절연층을 형성하는 단계 및 상기 절연층위에 게이트를 형성하는 단계를 포함하고, 상기 제1의 선택된 도핑 농도 및 접합 깊이가 상기 제2의 선택된 도핑 농도 및 접합 깊이와 무관하게 제어될 수 있는 것을 특징으로 하는 절연 게이트 전계 효과 트랜지스터를 형성하기 위한 방법.
  10. 제9항에 있어서, 소오스 영역을 형성하는 상기 단계 및 드레인 영역을 형성하는 상기 단계가 상기 반도체 기판내로 이온을 주입하는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제9항에 있어서, 상기 소오스 영역 및 상기 드레인 영역이 n-형 불순물로 농후하게 도프되는 것을 특징으로 하는 방법.
  12. 제9항에 있어서, 상기 소오스의 상기 제1의 희박하게 도프된 부분 및 상기 드레인의 상기 제1의 희박하게 도프된 부분이 상기 소오스의 상기 제2의 희박하게 도프된 부분 및 상기 드레인의 상기 제2의 희박하게 도프된 부분을 형성하기 전에 형성되는 것을 특징으로 하는 방법.
  13. 제9항에 있어서, 상기 소오스의 상기 농후하게 도프된 부분위에 융기된 소오스 영역을 형성하는 단계 및 상기 드레인의 상기 농후하게 도프된 부분위에 융기된 소오스 영역을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  14. 제9항에 있어서, 상기 게이트에 인접하여 형성된 제1 및 제2의 측벽 스페이서를 형성하는 단계를 더 포함하고, 상기 제1의 측벽 스페이서가 상기 소오스의 상기 제2의 희박하게 도프된 부분 위에 형성되며, 상기 제2의 측벽 스페이서가 상기 드레인의 상기 제2의 희박하게 도프된 부분위에 형성되는 것을 특징으로 하는 방법.
  15. 제9항에 있어서, 게이트를 형성하는 상기 단계가 저압 화합 증착법에 의해 실리콘을 증착시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 제9항에 있어서, 상기 기판상의 다른 트랜지스터로부터 이 트랜지스터를 분리시키기 위해 상기 기판내에 필드 산화물 및 채널 정지부를 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  17. 반도체 기판의 표면상에 절연층을 형성하는 단계, 제1실리콘 게이트층을 형성한 다음, 얇은 산화물층을 형성하며, 그다음 얇은 질화물층을 형성하고, 상기 제1의 실리콘 게이트층, 상기 얇은 산화물층, 상기 배치가능한 실리콘 게이트층 및 상기 얇은 질화물층을 에칭함으로써 게이트 스택을 형성하는 단계, 제1의 희박하게 도프된 드레인 영역 및 제1의 희박하게 도프된 소오스 영역을 형성하는 단계, 상기 게이트 스택의 측면들 사이에 제1측벽 스페이서 및 제2측벽 스페이서를 형성하는 단계, 상기 제2측벽 스페이서에 인접하여 농후하게 도프된 소오스 영역 및 드레인 영역들을 형성하는 단계, 게이트 트렌치를 형성하기 위해 상기 얇은 질화물층 및 상기 배치가능한 실리콘 게이트층을 제거하는 단계, 상기 게이트 트렌치의 내부 및 외부 벽들상에 질화물 스페이서들을 형성하는 단계, 상기 제1의 실리콘 게이트층의 선택된 부분을 노출시키기 위해 상기 얇은 산화물층을 제거하는 단계, 상기 제1의 실리콘 게이트층 위에 제2실리콘 게이트층을 형성하는 단계, 트랜지스터 트렌치들을 형성하기 위해 상기 질화물 스페이서들을 제거하는 단계, 상기 제1의 실리콘 게이트층 하부의 상기 기판내에 제2의 희박하게 도프된 드레인 영역 및 제2의 희박하게 도프된 소오스 영역을 형성하는 단계 및 선택된 물질로 상기 트랜지스터 트렌치를 채우는 단계를 포함하는 것을 특징으로 하는 절연 게이트 전계 효과 트랜지스터를 제조하는 방법.
  18. 제17항에 있어서, 상기 트랜지스터 트렌치들을 채우는 상기 단계가 실리콘을 화학 증착시킴으로써 달성되는 것을 특징으로 하는 방법.
  19. 제17항에 있어서, 상기 트랜지스터 트렌치들을 채우는 상기 단계가 산화물로 화학 증착시킴으로써 달성되는 것을 특징으로 하는 방법.
  20. 제17항에 있어서, 제1의 희박하게 도프된 드레인 및 소오스 영역을 형성하는 단계 이전에 상기 게이트상에 얇은 측벽을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  21. 제17항에 있어서, 채널 정지부를 형성하고 상기 기판상의 트랜지스터와 다른 트랜지스터를 분리시키기 위해 상기 기판내에 필드 산화물을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  22. 반도체 기판의 표면상에 절연층을 형성하는 단계, 선택된 폭의 게이트를 형성하고 상기 게이트 위에 절연층을 형성하는 단계, 상기 게이트의 선택된 부분을 등방성 및 측방향으로 에칭하는 단계, 상기 기판의 일부분을 상기 게이트의 상기 선택된 부분 아래로 도핑함으로써 희박하게 중첩되고 도프된 소오스 및 드레인을 형성하는 단계, 상기 게이트를 상기 선택된 폭을 재형성하는 단계, 상기 기판의 일부분을 상기 게이트에 도핑함으로서 희박하게 비중첩되고 도프된 소오스 및 드레인을 형성하는 단계, 상기 게이트의 측면상에 측벽 스페이서를 형성하는 단계 및 상기 기판의 일부분을 상기 측벽 스페이서에 인접하여 도핑함으로써 농후하게 도프된 소오스 및 드레인 접합부를 형성하는 단계를 포함하는 것을 특징으로 하는 절연 게이트 전계 효과 트랜지스터를 제조하는 방법.
  23. 제22항에 있어서, 상기 게이트가 폴리실리콘으로 이루어지고, 상기 게이트 절연층이 이산화 실리콘으로 이루어지는 것을 특징으로 하는 방법.
  24. 제22항에 있어서, 상기 게이트가 폴리실리콘으로 이루어지고, 상기 절연층이 이산화 실리콘으로 이루어지는 것을 특징으로 하는 방법.
  25. 제22항에 있어서, 게이트를 형성하는 상기 단계가 폴리실리콘층을 증착하고, 상기 폴리실리콘층을 패턴닝하며, 상기 폴리실리콘층을 에칭하고, 상기 폴리실리콘층을 도핑하는 단계를 포함하는 것을 특징으로 하는 방법.
  26. 제22항에 있어서, 상기 도핑 단계가 이온 주입에 의해 수행되는 것을 특징으로 하는 방법.
  27. 제22항에 있어서, 상기 게이트 재형성 단계가 실리콘을 선택적으로 성장시킨 후 상기 선택적으로 성장된 실리콘의 초과량을 비등방성적으로 에칭하는 단계를 포함하는 것을 특징으로 하는 방법.
  28. 제22항에 있어서, 상기 측벽 스페이서가 산화물로 이루어지는 것을 특징으로 하는 방법.
  29. 제22항에 있어서, 상기 측벽 스페이서가 질화물로 이루어지는 것을 특징으로 하는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개되는 것임.
KR1019920011088A 1991-06-26 1992-06-25 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법 KR100274555B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US72173991A 1991-06-26 1991-06-26
US7/721,739 1991-06-26
US07/721,739 1991-06-26

Publications (2)

Publication Number Publication Date
KR930001483A true KR930001483A (ko) 1993-01-16
KR100274555B1 KR100274555B1 (ko) 2000-12-15

Family

ID=24899118

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920011088A KR100274555B1 (ko) 1991-06-26 1992-06-25 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법

Country Status (3)

Country Link
US (2) US5949105A (ko)
JP (1) JPH06163571A (ko)
KR (1) KR100274555B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320307B1 (en) 1998-06-17 2001-11-20 Nec Corporation Color cathode ray tube having improved arrangement of electron beams
KR100715066B1 (ko) * 2004-07-07 2007-05-04 인피네온 테크놀로지스 아게 층 어레이의 제조 방법

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614431A (en) * 1995-12-20 1997-03-25 International Business Machines Corporation Method of making buried strap trench cell yielding an extended transistor
US5814555A (en) 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US6376330B1 (en) 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
JP2894283B2 (ja) * 1996-06-27 1999-05-24 日本電気株式会社 半導体装置の製造方法
US6063675A (en) * 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US6777759B1 (en) 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6232188B1 (en) * 1997-07-31 2001-05-15 Texas Instruments Incorporated CMP-free disposable gate process
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6261887B1 (en) * 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US5940698A (en) * 1997-12-01 1999-08-17 Advanced Micro Devices Method of making a semiconductor device having high performance gate electrode structure
US5869379A (en) * 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US6214690B1 (en) * 1997-12-18 2001-04-10 Advanced Micro Devices, Inc. Method of forming a semiconductor device having integrated electrode and isolation region formation
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
EP0928030B1 (en) * 1997-12-31 2009-02-11 STMicroelectronics S.r.l. High voltage field-effect transistor and corresponding manufacturing method
US6117741A (en) * 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6160316A (en) * 1998-03-04 2000-12-12 Advanced Micro Devices, Inc. Integrated circuit utilizing an air gap to reduce capacitance between adjacent metal linewidths
US6110786A (en) * 1998-04-16 2000-08-29 Advanced Micro Devices, Inc. Semiconductor device having elevated gate electrode and elevated active regions and method of manufacture thereof
US6083798A (en) * 1998-05-26 2000-07-04 Advanced Micro Devices, Inc. Method of producing a metal oxide semiconductor device with raised source/drain
JP3164076B2 (ja) * 1998-08-28 2001-05-08 日本電気株式会社 半導体装置の製造方法
US6225661B1 (en) * 1998-09-02 2001-05-01 Advanced Micro Devices, Inc. MOS transistor with stepped gate insulator
US6265256B1 (en) * 1998-09-17 2001-07-24 Advanced Micro Devices, Inc. MOS transistor with minimal overlap between gate and source/drain extensions
US6309936B1 (en) * 1998-09-30 2001-10-30 Advanced Micro Devices, Inc. Integrated formation of LDD and non-LDD semiconductor devices
US6200869B1 (en) 1998-11-06 2001-03-13 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit with ultra-shallow source/drain extensions
US6225173B1 (en) 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6297115B1 (en) 1998-11-06 2001-10-02 Advanced Micro Devices, Inc. Cmos processs with low thermal budget
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6897525B1 (en) * 1998-11-26 2005-05-24 Sanyo Electric Co., Ltd. Semiconductor device and method of manufacturing the same
US6265291B1 (en) 1999-01-04 2001-07-24 Advanced Micro Devices, Inc. Circuit fabrication method which optimizes source/drain contact resistance
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6225176B1 (en) 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US6184097B1 (en) 1999-02-22 2001-02-06 Advanced Micro Devices, Inc. Process for forming ultra-shallow source/drain extensions
US6271095B1 (en) 1999-02-22 2001-08-07 Advanced Micro Devices, Inc. Locally confined deep pocket process for ULSI mosfets
US6291278B1 (en) 1999-05-03 2001-09-18 Advanced Micro Devices, Inc. Method of forming transistors with self aligned damascene gate contact
US6492249B2 (en) 1999-05-03 2002-12-10 Advanced Micro Devices, Inc. High-K gate dielectric process with process with self aligned damascene contact to damascene gate and a low-k inter level dielectric
US6194748B1 (en) 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
US6271132B1 (en) 1999-05-03 2001-08-07 Advanced Micro Devices, Inc. Self-aligned source and drain extensions fabricated in a damascene contact and gate process
FR2794898B1 (fr) * 1999-06-11 2001-09-14 France Telecom Dispositif semi-conducteur a tension de seuil compensee et procede de fabrication
JP2001036038A (ja) * 1999-07-22 2001-02-09 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6265293B1 (en) 1999-08-27 2001-07-24 Advanced Micro Devices, Inc. CMOS transistors fabricated in optimized RTA scheme
US6482724B1 (en) * 1999-09-07 2002-11-19 Texas Instruments Incorporated Integrated circuit asymmetric transistors
US6403433B1 (en) 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US6248637B1 (en) 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
EP1091414A3 (en) * 1999-10-07 2005-03-16 Lucent Technologies Inc. MOSFET with tapered gate and method of manufacturing it
EP1246258B1 (en) * 2000-01-07 2011-02-23 Sharp Kabushiki Kaisha Semiconductor device and information processing device
US6448129B1 (en) 2000-01-24 2002-09-10 Micron Technology, Inc. Applying epitaxial silicon in disposable spacer flow
US6333244B1 (en) 2000-01-26 2001-12-25 Advanced Micro Devices, Inc. CMOS fabrication process with differential rapid thermal anneal scheme
EP1134798A1 (de) * 2000-03-15 2001-09-19 Infineon Technologies AG Halbleiter-Bauelement, Verfahren zu seiner Herstellung und Verfahren zur Herstellung von elektrischen Verbindungen in bzw. auf einem Halbleiter-Substrat
US6372589B1 (en) 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
US6420218B1 (en) 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6361874B1 (en) 2000-06-20 2002-03-26 Advanced Micro Devices, Inc. Dual amorphization process optimized to reduce gate line over-melt
US6368947B1 (en) 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6399450B1 (en) 2000-07-05 2002-06-04 Advanced Micro Devices, Inc. Low thermal budget process for manufacturing MOS transistors having elevated source and drain regions
US6630386B1 (en) 2000-07-18 2003-10-07 Advanced Micro Devices, Inc CMOS manufacturing process with self-amorphized source/drain junctions and extensions
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US6335254B1 (en) * 2000-08-09 2002-01-01 Micron Technology, Inc. Methods of forming transistors
US6472282B1 (en) 2000-08-15 2002-10-29 Advanced Micro Devices, Inc. Self-amorphized regions for transistors
US6727149B1 (en) * 2000-12-07 2004-04-27 Advanced Micro Devices, Inc. Method of making a hybrid SOI device that suppresses floating body effects
KR100363097B1 (ko) * 2001-01-06 2002-12-05 삼성전자 주식회사 기판과 컨택 패드간의 컨택 저항을 줄인 컨택 구조체 및그 형성방법
US6306715B1 (en) * 2001-01-08 2001-10-23 Chartered Semiconductor Manufacturing Ltd. Method to form smaller channel with CMOS device by isotropic etching of the gate materials
US6927435B2 (en) * 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
US6495437B1 (en) 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6756277B1 (en) * 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6509253B1 (en) 2001-02-16 2003-01-21 Advanced Micro Devices, Inc. T-shaped gate electrode for reduced resistance
US6420776B1 (en) 2001-03-01 2002-07-16 Amkor Technology, Inc. Structure including electronic components singulated using laser cutting
US20020123180A1 (en) * 2001-03-01 2002-09-05 Peter Rabkin Transistor and memory cell with ultra-short gate feature and method of fabricating the same
US6534351B2 (en) 2001-03-19 2003-03-18 International Business Machines Corporation Gate-controlled, graded-extension device for deep sub-micron ultra-high-performance devices
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US7176109B2 (en) 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
KR100406537B1 (ko) * 2001-12-03 2003-11-20 주식회사 하이닉스반도체 반도체장치의 제조 방법
US6570200B1 (en) * 2001-12-12 2003-05-27 Samsung Electronics Co., Ltd. Transistor structure using epitaxial layers and manufacturing method thereof
US6902980B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a high performance MOSFET device featuring formation of an elevated source/drain region
US6780691B2 (en) * 2002-08-16 2004-08-24 Chartered Semiconductor Manufacturing Ltd. Method to fabricate elevated source/drain transistor with large area for silicidation
US6800530B2 (en) * 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US6960512B2 (en) * 2003-06-24 2005-11-01 Taiwain Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device having an improved disposable spacer
US6905923B1 (en) 2003-07-15 2005-06-14 Advanced Micro Devices, Inc. Offset spacer process for forming N-type transistors
US20050026342A1 (en) * 2003-07-28 2005-02-03 Ka-Hing Fung Semiconductor device having improved short channel effects, and method of forming thereof
TWI361490B (en) * 2003-09-05 2012-04-01 Renesas Electronics Corp A semiconductor device and a method of manufacturing the same
JP4580657B2 (ja) * 2004-01-30 2010-11-17 株式会社東芝 半導体装置およびその製造方法
US7312125B1 (en) 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
US20050208726A1 (en) * 2004-03-19 2005-09-22 Sun-Jay Chang Spacer approach for CMOS devices
JP3854290B2 (ja) * 2004-06-17 2006-12-06 株式会社東芝 半導体装置およびその製造方法
KR100626383B1 (ko) * 2004-08-16 2006-09-20 삼성전자주식회사 부분적으로 높여진 소오스/드레인을 가지는 트랜지스터 및그 제조방법
JP2006173438A (ja) * 2004-12-17 2006-06-29 Yamaha Corp Mos型半導体装置の製法
US7745296B2 (en) * 2005-06-08 2010-06-29 Globalfoundries Inc. Raised source and drain process with disposable spacers
US7348248B2 (en) * 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8445345B2 (en) * 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US8685817B1 (en) 2012-11-19 2014-04-01 International Business Machines Corporation Metal gate structures for CMOS transistor devices having reduced parasitic capacitance
WO2017111774A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Transistor with inner-gate spacer

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182023A (en) * 1977-10-21 1980-01-08 Ncr Corporation Process for minimum overlap silicon gate devices
US4442449A (en) * 1981-03-16 1984-04-10 Fairchild Camera And Instrument Corp. Binary germanium-silicon interconnect and electrode structure for integrated circuits
JPH0682837B2 (ja) * 1982-09-16 1994-10-19 財団法人半導体研究振興会 半導体集積回路
EP0187016B1 (en) * 1984-12-27 1991-02-20 Kabushiki Kaisha Toshiba Misfet with lightly doped drain and method of manufacturing the same
JPS61216364A (ja) * 1985-03-20 1986-09-26 Fujitsu Ltd 半導体装置
JPS6264764A (ja) * 1985-09-04 1987-03-23 松下冷機株式会社 冷蔵庫等の底梱包材
JPS6395670A (ja) * 1986-10-13 1988-04-26 Matsushita Electric Ind Co Ltd Mos型半導体装置
IT1215558B (it) * 1987-06-11 1990-02-14 Sgs Microelettronica Spa Procedimento di programmazione per memorie rom e tecnolgia mos con ossido di gate e giunzioni sottili.
JP2633571B2 (ja) * 1987-07-30 1997-07-23 株式会社東芝 紫外線消去型不揮発性半導体装置
US4771014A (en) * 1987-09-18 1988-09-13 Sgs-Thomson Microelectronics, Inc. Process for manufacturing LDD CMOS devices
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
FR2654258A1 (fr) * 1989-11-03 1991-05-10 Philips Nv Procede pour fabriquer un dispositif a transistor mis ayant une electrode de grille en forme de "t" inverse.
US5047816A (en) * 1990-08-21 1991-09-10 Vlsi Technology, Inc. Self-aligned dual-gate transistor
KR960000225B1 (ko) * 1991-08-26 1996-01-03 가부시키가이샤 한도오따이 에네루기 겐큐쇼 절연게이트형 반도체장치의 제작방법
JP2702338B2 (ja) * 1991-10-14 1998-01-21 三菱電機株式会社 半導体装置、及びその製造方法
KR100275717B1 (ko) * 1993-12-28 2000-12-15 윤종용 다결정 실리콘 박막 트랜지스터 제조 방법
KR960006004A (ko) * 1994-07-25 1996-02-23 김주용 반도체 소자 및 그 제조방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320307B1 (en) 1998-06-17 2001-11-20 Nec Corporation Color cathode ray tube having improved arrangement of electron beams
KR100715066B1 (ko) * 2004-07-07 2007-05-04 인피네온 테크놀로지스 아게 층 어레이의 제조 방법

Also Published As

Publication number Publication date
JPH06163571A (ja) 1994-06-10
KR100274555B1 (ko) 2000-12-15
US5716861A (en) 1998-02-10
US5949105A (en) 1999-09-07

Similar Documents

Publication Publication Date Title
KR930001483A (ko) 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법
US5646058A (en) Method for fabricating a self-aligned double-gate MOSFET by selective lateral epitaxy
US8502301B2 (en) Semiconductor device and method for fabricating the same
US7491988B2 (en) Transistors with increased mobility in the channel zone and method of fabrication
US7883979B2 (en) Method for manufacturing a semiconductor device with reduced floating body effect
US7964465B2 (en) Transistors having asymmetric strained source/drain portions
US20060131657A1 (en) Semiconductor integrated circuit device and method for the same
US6506649B2 (en) Method for forming notch gate having self-aligned raised source/drain structure
US20080272395A1 (en) Enhanced hole mobility p-type jfet and fabrication method therefor
US20020081793A1 (en) Method for fabricating a semiconductor device
KR0143713B1 (ko) 트랜지스터 및 그 제조 방법
KR970009054B1 (ko) 평면구조 모스 트랜지스터 및 그 제조방법
CN101366104B (zh) p沟道MOS晶体管和半导体集成电路装置
CN100435353C (zh) Mos晶体管及其制造方法
KR930022603A (ko) 수직찬넬 mosfet 및 그 제조방법
US6720224B2 (en) Method for forming transistor of semiconductor device
KR970004069A (ko) 반도체 소자의 트랜지스터 제조방법 및 그 구조
JPH0521789A (ja) 電界効果型トランジスタ及びその製造方法
KR100234718B1 (ko) 반도체 소자 및 그 제조방법
KR930009119A (ko) Soi 구조의 반도체 장치 제조방법
KR970011503B1 (ko) 모스 트랜지스터의 제조방법
JPH05144839A (ja) 半導体装置の製造方法
JPH0385766A (ja) 半導体装置
KR950021402A (ko) 트렌치형 소자분리막 형성방법
KR920013700A (ko) 소이 구조의 트랜지스터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090716

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee