JPH06163571A - 絶縁ゲート電界効果トランジスタ及びその製造方法 - Google Patents

絶縁ゲート電界効果トランジスタ及びその製造方法

Info

Publication number
JPH06163571A
JPH06163571A JP4169166A JP16916692A JPH06163571A JP H06163571 A JPH06163571 A JP H06163571A JP 4169166 A JP4169166 A JP 4169166A JP 16916692 A JP16916692 A JP 16916692A JP H06163571 A JPH06163571 A JP H06163571A
Authority
JP
Japan
Prior art keywords
region
lightly doped
gate
drain
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4169166A
Other languages
English (en)
Inventor
M Moslehi Mehrdad
エム.モスレヒ メールダッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH06163571A publication Critical patent/JPH06163571A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28105Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【目的】 IGFETのソース及びドレイン領域のそれ
ぞれ3つの構成部分を他の部分から独立に制御形成する
ことにより、トランジスタの性能及び信頼性を用途に応
じ最適化し、また製造プロセス要件を充分緩和可とす
る。 【構成】 IGFET10は、半導体基板8上に形成さ
れ、ソース領域12及び(又は)ドレイン領域20は、
それぞれ、重ドープ部分14、22、非重なり軽ドープ
部分16、24、及び重なり軽ドープ部分18、26を
含み、重なり軽ドープ部分18、24と非重なり軽ドー
プ部分16、26のドーピング濃度及び接合深さは互い
に異なる製造ステップにおいてイオン打ち込み等により
独立に制御され、最適化される。絶縁層50は、ソース
領域12をドレイン領域20と分離するチャネル領域の
上と、重なり軽ドープ部分18、26の上とに形成され
る。ゲート24は、絶縁層50の上に形成される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般に、半導体デバイ
スの製造、特に高濃度にドープされたドレインを持つ絶
縁ゲート電界効果トランジスタの構造及び製造方法に関
する。
【0002】
【従来の技術】幾何学的寸法が小さい高性能の金属酸化
膜電界効果トランジスタ(以下、MOSFETと略称す
る)は、次のような困難に当面している:そのソース/
ドレイン接合が合理的な直列低抵抗を与えるのに充分な
程に高濃度にドープされるならば、オン状態中のそのド
レイン近くのチャネル内の電界の強さは非常に大きくな
り、ホットキャリヤ効果及び衝突電離効果が災いして、
寿命が短くなる。
【0003】MOSFETのチャネルが短くなるに従
い、そのチャネルに沿う電界は(所与の電源電圧に対し
て)強くなる。すなわち、電位分布が二次元になり、そ
のチャネルに沿う電界の効果がもはや無視できなくなる
一方、このチャネルに垂直な電界の効果を考慮すること
になる。特に、短チャネルMOSデバイスにおいておそ
らくそうであるように、チャネルに沿う電界が強い所で
は、衝突電離がそのドレインの近くに起こりやすい。結
果的な基板電流のため、CMOS技術におけるデバイス
ラッチアップと類似のことが起きる可能性が非常に増大
する。加えて、ホットキャリヤがドレインの近くの強い
電界によって発生しやすくなり、これらのキャリヤのい
くらかがそのゲート酸化物内へ注入され、これがしきい
値電圧の変動及び相互コンダクタンスの低化を招く。
【0004】この低化を最小にする手法の1つは、その
ドレイン領域における電界を弱めて、キャリヤがゲート
酸化膜へ注入されるのに十分なエネルギーを持つことを
防止することである。これは、ソース/ドレイン領域内
へ2回の注入を実施することによってそのドレインの接
合に段差を付けることによって達成することができる。
これらの注入のうち1回は、通常のN+ ドレイン領域を
超えてわずかにドープされた領域(軽ドープ領域)を生
成するように意図されている。これは、軽ドープドレイ
ン(以下、LDDと略称する)としばしば呼ばれるドレ
イン構造を形成するために側壁スペーサで多量に注入を
行うことによって達成することができる。他の手法は、
単純に同じ領域にリン及び砒素の2回の注入を実施し
て、二重ドープ(又は二重拡散)ドレイン(以下、DD
Dと略称する)と呼ばれる構造を形成することである。
そのドレイン領域の電界は、この段差付きドレインを形
成するドーピングにより、これら両構造の場合とも、弱
めることができる。
【0005】
【発明が解決しようとする課題】しかしながら、従来の
LDD構造では、LDD領域の2つの部分、すなわち、
トランジスタゲートの下にある重なり領域とトランジス
タゲートの下にない非重なり領域とを、独立に制御する
ことが困難であった。LDD領域を形成するために拡散
プロセスを利用する先行技術の方法では、接合深さ及び
ゲートの下の横方向の運動を独立に制御することができ
なかった。
【0006】LDD領域を形成する他の方法では、ゲー
トが形成された後にそのゲートの下にイオン注入を行な
うことが必要である。この方法では、それらのイオンは
不純物としてそのゲートの下へ駆動されるような角度で
打ち込まれる。しかしながら、このプロセスでは、それ
らの不純物が再びそのゲートの下で下方向並びに横方向
へ駆動させるので、制御が困難である。換言すると、重
なりLDD領域の長さに対して限定された制御しかでき
ず、かつLDD領域の接合深さ及び横方向重なりLDD
長さに対する独立な制御ができなかった。
【0007】したがって、これらの問題のいずれか又は
全てを克服できる改善策が現在要望されている。
【0008】
【課題を解決するための手段】他の目的及び利点は、以
下に明白にされ、部分的に現れ、かつ本発明によって達
成されるであろう。本発明の目的は、わずかにドープさ
れた(軽ドープ)ソース/ドレインを含む絶縁ゲート電
界効果トランジスタ(以下、IGFETと略称する)及
びこれを形成する方法を提供することである。
【0009】IGFETは、半導体基板上に形成され
る。そのソース/ドレイン領域は、多量にドープされた
(重ドープ)領域、重なり軽ドープ領域、及び非重なり
軽ドープ領域を含む。重なり軽ドープ部分及び非重なり
軽ドープ部分の、それぞれ、ドーピング濃度及び接合深
さを、独立に制御することができる。絶縁層は、ソース
領域とドレイン領域を分離するチャネル領域の上、及び
そのソース領域とドレイン領域の各非重なり軽ドープ部
分の上にもまた、形成される。ゲートは、この絶縁層の
上に形成される。ここでは、2つの実施例の製造方法
が、開示される。
【0010】本発明の利点は、そのソース/ドレイン領
域の重なり部分及び非重なり部分は互いに独立に形成さ
れ、かつ、したがって、そのトランジスタの性能及び信
頼特性が所望の使用に従って最適化される、と云うこと
である。選択的半導体堆積プロセスに対するプロセス要
件は、充分緩和されかつ容易に適合される。
【0011】ソース領域及び(又は)ドレイン領域の制
御可能な重なり、及びトランジスタゲートは、ホットキ
ャリヤストレス下でそのデバイス寿命を延長させること
ができる。非重なり部分は、重なり部分形成によって影
響されないので、これらの部分を、そのトランジスタ特
性を最適化するために独立に制御することができる。
【0012】更に、本発明のプロセスは、ゲート長、す
なわち、最小特徴寸法に等しいリソグラフィ解像度を要
求する。このことは、ゲート長より小さい特徴解像度を
要求し、したがって、(逆トランジスタゲートLDD又
はITLDDデバイスにおけるような)より厳しいパタ
ーニングを要求する或る先行技術に勝る利点を有する。
【0013】加えて、本発明の好適実施例の製造プロセ
スは、シリサイドn+ 形ソース/ドレイン領域内のドー
ピング濃度、並びに重なり部分及び非重なり部分の独立
な制御に対する充分な融通性を提供する。デバイス寿命
(すなわち、ホットキャリヤによるストレスに対する寿
命)及び性能(例えば、表面及び(又は)バルク突き抜
け、相互コンダクタンス、及び副しきい値リーク)の全
最適化のためには、注入量及びエネルギーを調整すれば
よい。
【0014】加えて、その構造は、或る先行技術のデバ
イスよりも長いシリサイド長を提供するので、或る従来
のLDD構造に比べて低いゲートシート抵抗を提供す
る。好適実施例においては、この低シート抵抗は、自己
整合シリサイドプロセス前に薄い多結晶シリコン層上の
トレンチを充填するために第2の選択的なシリコン堆積
を使用すると云う事実に、貢献できる。
【0015】本発明の上述の特徴は、付図と関連して次
の説明を考察することから、一層明らかに理解されるで
あろう。
【0016】
【実施例】本発明の好適実施例の形成及び使用は、以下
に詳細に論じられる。しかしながら、本発明が広く種々
な特定の文脈の中で具体化することのできる適用可能な
多くの発明構想を提供することは、云うまでもない。論
じられる特定の実施例は、本発明を形成及び使用する特
定の筋道の単に説明であって、本発明の範囲を限定する
ことはない。
【0017】次は、本発明の構造及び方法の説明であ
る。好適実施例を、最初に説明する。次いで、本発明の
構造の第1好適製造方法を、種々なステップに沿って説
明する。更にその後、構造の第2好適製造方法を説明す
る。
【0018】図1をまず参照すると、実施例のnチャネ
ル金属酸化膜半導体(以下、NMOSと略称する)トラ
ンジスタ10の構造が示されている。NMOSトランジ
スタが示されているが、類似のpチャネル金属酸化膜半
導体(以下、PMOSと略称する)を形成することもで
きる。両NMOS及びPMOSトランジスタは、例え
ば、双補型金属酸化膜半導体(以下、CMOSと略称す
る)デバイスを作成するために、同じチップ上に形成さ
れることがある。トランジスタ10は、一般には、半導
体チップ上の同様の多数のトランジスタアレイ内の1つ
のトランジスタである。
【0019】トランジスタ10は、ソース領域12及び
ドレイン領域20を含み、これらの領域はチャネル領域
28によって分離されている。ソース領域12は、3つ
の部分、すなわち、多量にドープされた領域(重ドープ
領域)14、非重なり(すなわち、トランジスタゲート
領域42の下にない)わずかにドープされた領域(軽ド
ープ領域)16、及び重なり(すなわち、トランジスタ
ゲート領域42の下の)軽ドープ領域18を含む。同様
に、ドレイン領域20は、3つの領域、すなわち、重ド
ープ領域22、非重なり軽ドープ領域24、及び重なり
軽ドープ領域26を含む。もちろん、機能的に、ソース
領域12とドレイン領域20は、逆でもよい。先行技術
のデバイスと異なり、ソース領域12及びドレイン領域
20の、それぞれ、非重なり領域16,24、及び重な
り領域18,26の各接合深さ及びドーピング濃度を、
独立に制御することができる。このことが、先行技術の
トランジスタ構造に勝る大きな利点を提供する。
【0020】示されている実施例は、また、希望により
エレベーテッドソース領域30及びエレベーテッドドレ
イン領域32を含んでもよい。エレベーテッドソース領
域30及びエレベーテッドドレイン領域32は、例え
ば、選択的半導体成長(以下、SSGと略称する)を使
用して、シリコン、ゲルマニウム、又はシリコン−ゲル
マニウム合金で形成される。
【0021】フィールド絶縁領域34及び36がトラン
ジスタ10をそのチップ上の他の構成要素から分離して
いる。フィールド絶縁領域34及び36は、典型的に
は、二酸化シリコンのような酸化物を含む。したがっ
て、フィールド酸化物領域とも称される。絶縁領域34
の下にチャネルストップ領域38がある。チャネルスト
ップ領域38は、また、デバイス分離の目的のために形
成されている。示されているNMOS実施例において
は、チャネルストップ領域38は、p形ドープシリコン
を含む。一般に、チャネルストップは、そのソース/ド
レイン領域の導電形と反対の導電形を有する。同様に、
チャネルストップ領域40は、絶縁領域36の下に形成
され、かつチャネルストップ領域38と類似の特性を有
する。
【0022】ゲート領域42がチャネル領域28及び重
なり軽ドープ領域18,26の上に形成されている。ゲ
ート領域42をチャネル領域28から分離するための、
ゲート絶縁領域酸化物層50があり、これは、一般的に
は二酸化シリコンの薄い層である。側壁スペーサ62
は、ゲート領域42の側面上かつソース領域の非重なり
軽ドープ領域16及びドレイン領域の非重なり軽ドープ
領域24の上に形成されている。
【0023】この好適実施例を説明するプロセス流れ
が、図2〜図12に示されている。この流れは、NMO
Sトランジスタの製造プロセスを示す。技術的に周知の
ように、PMOSトランジスタを形成するように変更す
ることは容易であろう。CMOS技術の場合は、典型的
に、両NMOS及びPMOSトランジスタは、同じチッ
プ上に形成される。
【0024】図2を参照して、多結晶シリコン基板8が
提供される。この例のプロセスの第1ステップは、チャ
ネルストップ領域38及び40を形成することである。
典型的な方法は、周知のイオン注入技術を使用してほう
素を打ち込むことである。拡散のような他の周知のドー
ピング方法も使用することができる。pチャネルデバイ
スに対しては、不純物は一般的に、砒素又はリンであ
る。チャネルストップ領域38及び40は、その基板ド
ーピング濃度によっては、必要なければ省くこともでき
る。
【0025】次いで、フィールド絶縁領域34及び36
が局部酸化によって形成され、これに続いて犠牲絶縁層
52の成長が遂行される。フィールド絶縁領域34及び
36は、好適には、シリコン基板の熱酸化によって形成
される。技術的に周知のように、この酸化膜は、窒化酸
化膜マスクによって覆われていないフィールド領域内で
成長する。フィールド絶縁領域34及び36は、一般的
には、5,000と7,000Åの間の厚さであり、犠
牲絶縁層52は約100と300Åの間の厚さである。
【0026】もし望むならば、犠牲絶縁層52の下のチ
ャネル領域28が、そのデバイスのしきい値電圧を調節
するために及び(又は)突き抜けを最小化するためにド
ープされることもある。このドーピングステップは、一
般的に、イオン注入によって行なわれるが、しかし拡散
のような他の方法によって行なわれることもある。この
チャネルストップ領域の目的は、設計構想用であって、
それゆえ、このステップを部分的又は完全に省くことも
ある。
【0027】図に示されていない追加の処理が、チャネ
ル領域28の表面においてなされることもある。例え
ば、p形エピタキシャルシリコン層が堆積され、これに
続いて深い突け防止用p形エピタキシャルシリコン層が
堆積される。次いで、p形エピタキシャル層が形成さ
れ、これに続いてしきい値調節用p形エピタキシャル層
が形成される。これらのステップは、チャネルイオン注
入ステップに代わることができるが、しかし省かれても
本発明の目的に影響することはない。
【0028】図3を参照すると、犠牲絶縁層、すなわ
ち、ダミー酸化物層52が、周知の無損傷エッチング法
を使用して除去され、かつ酸化物層50が成長する。好
適実施例においては、酸化物層50は、約60と100
Åの間の厚さである。この厚さは、0.35μmCMO
S技術に調和する。
【0029】この例のプロセス内の次のステップは、ゲ
ートスタックを形成することである。ここで、その第1
ステップは、100と1,000Åの間の厚さのアモル
ファス又は多結晶のシリコン層46のブランケット堆積
を行うことである。次いで、一般的には、約10と10
0Åの間の厚さの薄い酸化物層48が形成される。この
酸化物層48は、熱成長又は化学成長のいずれであって
もよく、かつエッチングストップ層として働く。次い
で、厚い処分可能ゲート層56が、所望の高さ、一般的
には、約2,000と4,000Åの間に形成される。
厚い処分可能ゲート層56は、アモルファス又は多結晶
のシリコンの堆積によって形成される。最後に、薄い窒
化物層58である頂上被覆層が形成される。窒化物層5
8は、一般的に、低圧化学気層成長(以下、LPCVD
と略称する)によって堆積され、かつ典型的には100
と800Åの間の厚さである。窒化物層58は、後続の
デバイス処理中に酸化マスクとして働く。
【0030】この表面は、次いで、周知のホトリソグラ
フィ技術を使用してゲート領域をパターン化するために
マスクされる。次いで、窒化物層58、処分可能ゲート
層56、酸化物層48、及び多結晶シリコン層46をエ
ッチングするために、インシトゥー(原位置)異方性エ
ッチングが遂行される。このエッチングの遂行に使用さ
れる化学は、既知であり、簡単のためここでは論じな
い。好適には、ゲート形成エッチングは、同じ室内にお
いて遂行される(インシトゥー多重ステップエッチン
グ)。
【0031】いま、図4を参照すると、まず、軽ドープ
領域16及び24が形成される。これらの部分は、非重
なり領域、すなわち、ソース/ドレイン領域のうちのゲ
ートの下にない部分として働く。非重なり軽ドープ領域
16及び24は、NMOSデバイスの場合には砒素、リ
ン、又はアンチモンを注入することによってもしくはP
MOSデバイスの場合にはほう素を注入することによっ
て形成される。しかしながら、他のドーパントも使用可
能である。その接合深さは、一般的に、400と1,0
00Åの間であって、かつその濃度はそのデバイス要件
に従い設計され、典型的に、約1×1013から5×10
14-3の範囲内にある。
【0032】なおまた、薄い側壁酸化物60の形成が図
4に示されている。側壁酸化物60は、例えば、LPC
VDオルトシランテトラエチル(tetraethoo
xysilane、以下、TEOSと略称する)プロセ
スによって堆積される。側壁酸化物60は、典型的に
は、50と200Åとの間の厚さである。軽ドープ領域
の形成とこの側壁酸化物の形成の順序は、図4に示され
たのと逆になってもよい。
【0033】次いで、図5を参照すると、側壁スペーサ
62が薄い側壁酸化物60の近くに形成される。側壁ス
ペーサ62は、一般には、LPCV TEOS(ブラン
ケット堆積)と、これに続く反応性イオンエッチング
(以下、RIEと略称する)である異方性エッチングに
よって形成される。側壁スペーサ62の厚さは、非重な
り領域16及び24の長さに対応し、かつこれらに従っ
て設計されなければならない。典型的に、側壁スペーサ
62の厚さは、500と3,000Åの間にある。
【0034】過剰エッチングを伴うRIEプロセスは、
また、酸化物層50をソース/ドレイン領域の上から取
り除くことができる。そうする場合には、そのソース/
ドレイン領域は、50と200Åの間の厚さに酸化され
る。注意すべきことは、窒化層58、処分可能ゲート層
56がソース/ドレイン領域酸化ステップ中に酸化され
るのを阻止することである。
【0035】いま、ソース領域の重ドープ領域14及び
ドレイン領域の重ドープ領域22は、NMOSトランジ
スタの場合、重ドープN+ 領域を形成するように注入さ
れる。この注入ステップは、後に図9を参照して論じら
れるように、エレベーテッドソース領域及びエレベーテ
ッドドレイン領域を使用しようとする場合には省かれて
もよい(後のステップまで延期される)。
【0036】いま、図6を参照すると、頂上被覆窒化層
58の表面を取り除くために非常に短い酸化デグレーズ
(deglaze)が遂行される。このステップは、露
出酸化層を損傷しない。次いで、窒化物層58を除去す
るために、湿式又は乾式のいずれかの選択的、おそらく
等方性窒化物エッチングが遂行される。次いで、処分可
能ゲート層56を除去するため、選択的、(又は等方性
でも可)シリコンエッチングが遂行される。これらの高
度に選択的なエッチングは、シリコン層46のエッチン
グを防止するためにエッチングストップとして働く再成
長酸化物層50又は酸化物層48を擾乱することはな
い。
【0037】次いで、LPCVD窒化物ブラケット共形
堆積が遂行され、これに続き窒化物RIEが側壁スペー
サ62の内側及び外側表面上に窒化物スペーサ64を生
成する。このステップは、図7に示されている。窒化物
スペーサ64の厚さは、ソース/ドレイン領域の重なり
軽ドープ領域(重なりLDD領域)の長さを決定する。
【0038】RIEの選択性に依存して、図8に示され
るように、酸化物層50が取り除かれる。もしエレベー
テッドソース/ドレイン領域が要求されるならば、ソー
ス/ドレイン領域上の酸化物層50の除去が、望まし
い。それゆえ、酸化物層50がRIE中に除去されない
場合には、層50を酸化物RIE又は湿式エッチングの
いずれかによってエッチングしなければならない。他
方、もしエレベーテッドソース/ドレイン領域が要求さ
れていないならば、酸化物層50を、ソース領域の重ド
ープ領域14及びドレイン領域の重ドープ領域22の上
に再形成しなければならない。注意すべきことは、酸化
物層48の部分も、また、除去されていると云うことで
ある。
【0039】いま、図9を参照すると、エレベーテッド
ソース領域30、エレベーテッドドレイン領域32、及
びゲート領域44を生成するために、SSGが遂行され
る。SSG、一般には、化学気相成長(以下、CVDと
略称する)は、露出されたソース領域の重ドープ領域1
4及びドレイン領域の重ドープ領域22の上及びシリコ
ン層46の上でシリコンを成長させる。重ドープ領域1
4及び22の上のような単結晶基板上で堆積が行われる
場合には、その堆積層もまた単結晶層である。しかしな
がら、その表面が充分にクリーニングされていない場合
には、エレベーテッドソース領域30及びエレベーテッ
ドドレイン領域32は、多結晶層となる。このことは、
一般的に、問題を生じる。SSGは、例えば、シリコ
ン、ゲルマニウム、又はシリコン/ゲルマニウム合金で
ある。エレベーテッドソース領域30、エレベーテッド
ドレイン領域32、及びゲート領域44は、インシトゥ
ードープされるか、又はSSGプロセスの後にドープさ
れる。加えて、重ドープ領域14及び22は、これらが
図5に関して始めに論じられたように遂行されなかった
としたならば、このステップにおいて形成される。
【0040】SSGに続き、エレベーテッドソース領域
30及びエレベーテッドドレイン領域32の上に30か
ら100Åの厚さの酸化物層66並びにゲート上に同じ
く酸化物層68を生成するために、短い熱酸化が遂行さ
れる。
【0041】図10を参照すると、窒化物の側壁スペー
サ64を除去するために、選択、好適には等方性、窒化
物エッチングが遂行される。
【0042】NMOSデバイスの場合、ソース領域の重
なり軽ドープ領域18及びドレイン領域の重なり軽ドー
プ領域26は、砒素、りん、又はアンチモンを注入する
ことによって形成される。この注入は、ゲートの酸化物
層48の薄い部分を通してのみ起こる(及びソース領域
の重ドープ領域14、ドレイン領域の重ドープ領域22
内にも注入されるが、しかしながら、これは厳しくな
い)。注意すべき重要なことは、ソース領域の重なり軽
ドープ領域18及びドレイン領域の重なり軽ドープ領域
26は、ドーピング濃度及び接合深さに関して非重なり
軽ドープ領域16及び24から独立に形成されている、
と云うことである。
【0043】デバイスを完成するには多数の筋道があ
る。その第1は、図11に示されている。追加のエレベ
ーテッドソース領域30A、追加のエレベーテッドドレ
イン領域32A、及び追加のゲート領域70を生成する
ために、トレンチSSGによって充填される。このSS
Gは、やはりシリコン、ゲルマニウム、又はシリコン−
ゲルマニウム合金であり、かつドープ又はアンドープの
いずれによってもよい。
【0044】これに代わり、これらのトレンチを、LP
CVD多結晶又はアモルファスシリコンもしくはLPC
VD酸化物、これに続くRIEによって充填してもよ
い。このプロセスは、SSGによるよりも簡単であり、
したがって、好適である。しかしながら、LPCVD酸
化物が使用されるならば、そのゲートの導電部分は小さ
く、したがって、ゲート伝搬遅延時間に影響するであろ
う。
【0045】図12に、自己整合シリサイド(sali
cideと称される)プロセスが示されている。あらゆ
る残存絶縁材料をそのソース、ドレイン、及びゲートか
ら除去した後、重ドープ領域14上に領域76、ゲート
領域44上に領域74、及び重ドープ領域16上に領域
72を形成するために、例えば、チタンのような耐火金
属がスパッタされる。次いで、反応が起こって、そのシ
リサイドを生成する。過剰な無シリサイド又は無反応金
属を除去した後、このデバイスはアニールされる。この
シリサイドは、ここでは、ソース及び(又は)ドレイ
ン、及びゲートの直列低抵抗値を実現するために使用さ
れる。
【0046】簡単のために、いくつかの既知のステップ
が、このプロセスから省かれている。例えば、多数のク
リーニングプロセスがこのプロセスを通して、特に堆積
の直前に、実行される。技術的に周知のようなその他の
変更も含まれる。
【0047】好適実施例を説明する第2のプロセスが、
図13から図18に示されている。この流れは、やは
り、NMOSトランジスタの形成について説明する。技
術的に知られているように、PMOSトランジスタを形
成するように変更することもできる。CMOSデバイス
製造の場合は、典型的に、両NMOS及びPMOSトラ
ンジスタは、同じチップ上に形成される。
【0048】まず、図13を参照すると、単結晶シリコ
ン基板108が提供される。この例のプロセスの第1ス
テップは、図2に関して先に説明されたように、チャネ
ルストップ領域138及び140を形成することであ
る。先に説明したように、やはり、フィールド絶縁領域
134及び136が絶縁層150に沿って形成され、か
つチャネル領域128が前のようにドープされる。
【0049】いま、図14を参照すると、厚さ約35Å
の多結晶シリコンを形成するためにLPCVDプロセス
が遂行される。多結晶シリコン層142は、イオン注入
によってインシトゥードープされても又はその後にドー
プされてもよい。典型的なドーパントは、砒素又はりん
である。
【0050】多結晶シリコン層142の堆積に続き、絶
縁層180の堆積が遂行される。絶縁層180は、一般
的に、約1,000Åの厚さの、窒化シリコンのような
LPCVD窒化物、又は二酸化シリコンのようなLPC
VD酸化物である。
【0051】多結晶シリコン層142及び絶縁層180
は、次いで、パターン化され、かつ周知のホトリソグラ
フィ及びエッチング技術を使用して異方性エッチングが
施されて、デバイスチャネル領域128の上にゲート電
極を形成する。
【0052】図15を参照すると、横方向アンダーカッ
ト領域182が、ころあいの等方性多結晶シリコンのエ
ッチングを遂行することによって形成される。このエッ
チングは、絶縁層180又は基板108を擾乱しないよ
うに選択される。このエッチングは、そのウエハ上の全
てのゲートが同じに形成されるように均一でなければな
らない。絶縁層180の下のエッチングの距離は、ソー
ス領域の重なり軽ドープ領域118及びドレイン領域の
重なり軽ドープ領域126の長さに対応する。
【0053】ソース領域の重なり軽ドープ領域118及
びドレイン領域の重なり軽ドープ領域126が、形成さ
れる。一般的に、重なり軽ドープ領域118及び126
には、NMOSデバイスの場合は砒素又はりんが注入さ
れ、及びPMOSデバイスの場合はほう素が注入され
る。その注入エネルギーは、これらのイオンは窒化物又
は酸化物の絶縁層180の重なり部分を通して注入さ
れ、(ゲートと重ならせて)浅いLDD接合を形成する
ようなエネルギーである。
【0054】図には示されていない非対称デバイスが要
求されるならば、ころあいの等方性エッチングを遂行す
るに先立ち側壁(図に示されていない)が多結晶シリコ
ン層142上に形成される。このようにして、ソース又
はドレインの1つのみが重なり軽ドープ領域を含むであ
ろう。
【0055】図16を参照すると、多結晶シリコン層1
42を再成長させるためにSSGが遂行される。多結晶
シリコン層142は、全被覆を起こすのを保証するため
に過剰成長させられ、その横方向アンダーカットを完全
に充填する。
【0056】図17に示されるように、多結晶シリコン
層142は、絶縁層180に対して選択的に異方性エッ
チングされる。多結晶シリコン層142は、いまや、絶
縁層180の下にあり、かつ、この処理の早期のステッ
プにおいてこの層があったように再び自己整合される。
【0057】次いで、非重なり軽ドープ領域116及び
124が形成される。これらの非重なり軽ドープ部分
は、典型的に、NMOSデバイスの場合は砒素又はりん
を注入されることによって、又はPMOSの場合はほう
素を注入されることによって形成され、かつ第1の実施
例に関して説明された特性を有する。この実施例におい
て、非重なり軽ドープ領域116及び124のドーピン
グ特性は、先に形成された重なり軽ドープ領域118及
び126の特性に追加される。これは、先に論じられた
プロセス(図2から図12)より不利な点である。しか
しながら、この第2の実施例は、簡単であり、したがっ
て、或る応用においては望ましい。
【0058】図17には、また、薄い側壁酸化物160
の形成が示されている。側壁酸化物160は、例えば、
LPCVD TEOSプロセスによって堆積される。側
壁酸化物160は、典型的に約50と100Åの間の厚
さである。重なり軽ドープ領域の形成と側壁酸化物の形
成の順序を、もし望むならば、逆にしてもよい。
【0059】図18を参照すると、厚い側壁スペーサ1
62が、側壁酸化物160の近くに形成される。側壁ス
ペーサ162は、典型的に、LPCVD酸化物又は窒化
物及びその後のRIEによって形成される。側壁スペー
サ162の幅は、非重なり軽ドープ領域116及び12
4の幅に対応する。
【0060】最終的に、ソース領域の重ソープ部分11
4及びドレイン領域の重ドープ部分122が、イオン打
込みのような標準ドーピング技術を使用して形成され
る。この時点において、両ソース領域及びドレイン領域
にとって、3つの独立に形成された部分が形成されてい
る。
【0061】このプロセス流れの最後のステップは、図
に示されていないが、設計選択に従って遂行されるであ
ろう。例えば、図12に関して説明されたものと類似の
自己整合シリサイドが遂行される。
【0062】やはり、簡単のために、いくつかの周知の
ステップがこのプロセス流れから省かれている。例え
ば、多数のクリーニングプロセスがこのプロセス流れを
通して、特に堆積の直前に、遂行される。技術的に周知
のようなその他の変更も含まれる。
【0063】本発明は図に示した実施例を参照して説明
されたが、この説明を、限定的意味に解釈されるつもり
はない。これらの実施例の変更及び組合わせ、並びに本
発明の他の実施例は、この説明を参照するならば、当業
者にとって明白であろう。したがって、添付の特許請求
の範囲は、あらゆるこのような変更又は実施例を包含す
ることを主張する。
【0064】
【発明の効果】本発明の利点は、そのソース/ドレイン
領域の重なり部分及び非重なり部分は互いに独立に形成
され、かつ、したがって、そのトランジスタの性能及び
信頼特性が所望の使用に従って最適化される、と云うこ
とである。選択的半導体堆積プロセスに対するプロセス
要件は、充分緩和されかつ容易に適合される。ソース領
域及び(又は)ドレイン領域の制御可能な重なり、及び
トランジスタゲートは、ホットキャリャストレス下でそ
のデバイス寿命を延長させることができる。非重なり部
分は、重なり部分形成によって影響されないので、これ
らの部分を、そのトランジスタ特性を最適化するために
独立に制御することができる。更に、本発明のプロセス
は、ゲート長、すなわち、最小特徴寸法に等しいリソグ
ラフィ解像度を要求する。このことは、ゲート長より小
さい特徴解像度を要求し、したがって、(逆トランジス
タゲートLDD又はITLDDデバイスにおけるよう
な)より厳しいパターニングを要求する或る先行技術に
勝る利点を有する。加えて、本発明の好適実施例の製造
プロセスは、シリサイドn+ 形ソース/ドレイン領域内
のドーピング濃度、並びに重なり部分及び非重なり部分
の独立な制御に対する充分な融通性を提供する。デバイ
ス寿命(すなわち、ホットキャリヤによるストレスに対
する寿命)及び性能(例えば、表面及び(又は)バルク
突き抜け、相互コンダクタンス、及び副しきい値リー
ク)の全最適化のためには、注入量及びエネルギーを調
整すればよい。加えて、その構造は、或る先行技術のデ
バイスよりも長いシリサイド長を提供するので、或る従
来のLDD構造に比べて低いゲートシート抵抗を提供す
る。好適実施例においては、この低シート抵抗は、自己
整合シリサイドプロセス前に薄い多結晶シリコン層上の
トレンチを充填するために第2の選択的なシリコン堆積
を使用すると云う事実に、貢献できる。
【0065】以上の説明に関して更に以下の項を開示す
る。 (1)半導体基板と、重ドープ部分と、第1の選択され
たドーピング濃度及び接合深さを持つ第1の軽ドープ領
域と、第2の選択されたドーピング濃度及び接合深さを
持つ第2の軽ドープ領域とを含むドレイン領域であっ
て、前記第1の選択されたドーピング濃度及び接合深さ
は前記第2の選択されたドーピング濃度及び接合深さか
ら独立に制御されることができる前記ドレイン領域と、
ソース領域と、前記ソース領域と前記ドレイン領域とを
分離するチャネル領域と、前記チャネル領域の上と、前
記ドレイン領域の前記第2軽ドープ領域の上と、前記ソ
ース領域の前記第2軽ドープ領域の上とに形成される絶
縁層と、及び前記絶縁層の上に形成されるゲートとを包
含する絶縁ゲート電界効果トランジスタ。
【0066】(2)第1項記載のトランジスタにおい
て、前記ソース領域は、重ドープ領域と、前記第1の選
択されたドーピング濃度及び接合深さを持つ第1の軽ド
ープ領域と、前記第2の選択されたドーピング濃度及び
接合深さを持つ第2の軽ドープ領域とを含むトランジス
タ。
【0067】(3)第2項記載のトランジスタであっ
て、前記ソース領域の前記重ドープ領域の上に形成され
たエレベーテッドソース領域と前記ドレイン領域の前記
重ドープ領域の上に形成されたエレベーテッドドレイン
領域を更に包含するトランジスタ。
【0068】(4)第2項記載のトランジスタであっ
て、前記ゲートの近くに形成された第1の側壁スペーサ
と第2の側壁スペーサとを更に包含し、前記第1の側壁
スペーサは前記ソース領域の前記第2の軽ドープ領域の
上に形成され、かつ前記第2の側壁スペーサは前記ドレ
イン領域の前記第2の軽ドープ領域の上に形成されるト
ランジスタ。
【0069】(5)第1項記載のトランジスタであっ
て、前記ゲート内に絶縁領域を更に包含するトランジス
タ。
【0070】(6)第1項記載のトランジスタにおい
て、前記ゲートは多結晶シリコンを含むトランジスタ。
【0071】(7)第6項記載のトランジスタにあっ
て、前記ゲート内に形成された埋込み二酸化シリコン領
域を更に包含するトランジスタ。
【0072】(8)第1項記載のトランジスタにあっ
て、前記トランジスタを前記基板上の他のトランジスタ
から分離するために前記基板内に形成されたフィールド
酸化物とチャネルストップとを更に包含するトランジス
タ。
【0073】(9)半導体基板を提供するステップと、
重ドープ領域と、第1の選択されたドーピング濃度及び
接合深さを持つ第1の軽ドープ領域と、第2の選択され
たドーピング濃度及び深さを持つ第2の軽ドープ領域と
を含むドレイン領域であって、前記第1の選択されたド
ーピング濃度及び接合深さは前記第2の選択されたドー
ピング濃度及び接合深さから独立に制御される前記ドレ
イン領域を形成するステップと、重ドープ領域と、第1
の選択されたドーピング濃度及び接合深さを持つ第1の
軽ドープ領域と、第2の選択されたドーピング濃度及び
接合深さを持つ第2の軽ドープ領域とを含むソース領域
を形成するステップと、前記ソース領域と前記ドレイン
領域とを分離するチャネル領域の上と、前記ドレイン領
域の前記第2の軽ドープ領域の上と、前記ソース領域の
前記第2の軽ドープ領域の上とに絶縁層を形成するステ
ップと、及び前記絶縁層の上にゲートを形成するステッ
プとを包含する絶縁ゲート電界効果トランジスタ形成方
法。
【0074】(10)第9項記載の方法において、前記
ソース領域を形成する前記ステップと前記ドレイン領域
を形成する前記ステップとは、前記半導体基板内へのイ
オン注入を含む方法。
【0075】(11)第9項記載の方法において、前記
ソース領域と前記ドレイン領域とは、n形不純物で以て
重ドープされる方法。
【0076】(12)第9項記載の方法において、前記
ソース領域の前記第1の軽ドープ領域と前記ドレイン領
域の前記第1の軽ドープ領域とを、前記ソース領域の前
記第2の軽ドープ領域と前記ドレイン領域の前記第2の
軽ドープ領域とを形成する前に形成する方法。
【0077】(13)第9項記載の方法であって、前記
ソース領域の前記重ドープ部分の上にエレベーテッドソ
ース領域を形成することと、前記ドレイン領域の前記重
ドープ領域の上にエレベーテッドドレイン領域を形成す
ることとを更に包含する方法。
【0078】(14)第9項記載の方法であって、前記
ゲートの近くに第1の側壁スペーサと第2の側壁スペー
サとを形成するステップを更に包含し、前記第1の側壁
スペーサは前記ソース領域の前記第2軽ドープ領域の上
に形成され、かつ前記第2の側壁スペーサは前記ドレイ
ン領域の前記第2軽ドープ領域の上に形成される方法。
【0079】(15)第9項記載の方法において、前記
ゲートを形成するステップは、低圧化学気相成長によっ
てシリコンを堆積するステップを含む方法。
【0080】(16)第9項記載の方法であって、前記
トランジスタを前記基板上の他のトランジスタから分離
するために前記基板内にフィールド酸化物とチャンネル
ストップを形成するステップを更に包含する方法。
【0081】(17)半導体基板の表面上に絶縁層を形
成するステップと、第1のシリコンゲート層を形成し、
続いて薄い酸化物層を形成し、続いて処分可能シリコン
ゲート層を形成し、続いて薄い窒化物層を形成し、かつ
前記第1シリコンゲート層と、前記薄い酸化物層と、前
記処分可能シリコンゲート層と、前記薄い窒化物層とを
エッチングすることによってゲートスタックを形成する
ステップと、ドレイン領域の第1の軽ドープ領域とソー
ス領域の第1の軽ドープ領域とを形成するステップと、
前記ゲートスタック上に第1の側壁スペーサと第2の側
壁スペーサとを形成するステップと、前記第2の側壁ス
ペーサの近くに前記ソース領域の重ドープ領域と前記ド
レインの重ドープ領域とを形成するステップと、ゲート
トレンチを形成するために前記薄い窒化物層と前記処分
可能シリコンゲート層を除去するステップと、前記ゲー
トトレンチの内側壁上と外側壁上とに窒化物スペーサを
形成するステップと、前記第1のシリコンゲート層の選
択部分を露出するために前記薄い酸化物層を除去するス
テップと、前記第1のシリコンゲート層の上に第2のシ
リコンゲート層を形成するステップと、トランジスタト
レンチを生成するために前記窒化物スペーサを除去する
ステップと、前記第1のシリコンゲート層の下の前記基
板内に前記ドレイン領域の第2軽ドープ領域と前記ソー
ス領域の第2の軽ドープ領域を形成するステップと、及
び選択材料で以て前記トランジスタトレンチを充填する
ステップと、を包含する絶縁ゲート電界効果トランジス
タ製造方法。
【0082】(18)第17項記載の方法において、前
記トランジスタトレンチを充填するステップは、化学気
相成長シリコンを含む方法。
【0083】(19)第17項記載の方法において、前
記トランジスタトレンチを充填するステップは、化学気
相成長酸化物を含む方法。
【0084】(20)第17項記載の方法であって、前
記ドレイン領域の前記第1の軽ドープ領域と前記ソース
領域の前記第1の軽ドープ領域とを形成する前に前記ゲ
ート上に薄い側壁を形成するステップを更に包含する方
法。
【0085】(21)第17項記載の方法であって、前
記トランジスタを前記基板上の他のトランジスタから分
離するために前記基板内にチャンネルストップとフィー
ルド酸化物とを形成するステップを更に包含する方法。
【0086】(22)半導体基板の表面上に絶縁層を形
成するステップと、選択幅のゲートを形成しかつ前記ゲ
ート上に絶縁層を形成するステップと、前記ゲートの選
択部分を等方性かつ横方向エッチングするステップと、
前記ゲートの前記選択部分の下の前記基板の部分をドー
ピングすることによってソース領域の重なり軽ドープ領
域とドレイン領域の重なり軽ドープ領域とを形成するス
テップと、前記選択幅に前記ゲートを再形成するステッ
プと、前記ゲートの近くの前記基板の部分をドーピング
することによって前記ソース領域の非重なり軽ドープ領
域と前記ドレイン領域の非重なり軽ドープ領域とを形成
するステップと、前記ゲートの側面上に側壁スペーサを
形成するステップと、及び前記側壁スペーサの近くの前
記基板の部分をドーピングすることによって前記ソース
領域の重ドープ領域と前記ドレイン領域の重ドープ領域
を形成するステップとを包含する絶縁ゲート電界効果ト
ランジスタ製造方法。
【0087】(23)第22項記載の方法において、前
記ゲートは多結晶シリコンを含み、かつ前記ゲート絶縁
層は二酸化シリコンを含む方法。
【0088】(24)第22項記載の方法において、前
記ゲートは多結晶シリコン層を含み、かつ前記ゲート絶
縁層は窒化シリコンを含む方法。
【0089】(25)第22項記載の方法において、前
記ゲートを形成する前記ステップは、前記多結晶シリコ
ン層を堆積するステップと、前記多結晶シリコン層をパ
ターン化するステップと、前記多結晶シリコン層をエッ
チングするステップと、前記多結晶シリコン層をドーピ
ングするステップとを含む方法。
【0090】(26)第22項記載の方法において、前
記ドーピングする前記ステップは、イオン注入によって
遂行される方法。
【0091】(27)第22項記載の方法において、前
記ゲートを再形成する前記ステップは、シリコンを選択
的に成長させるステップと、続いて前記選択に成長させ
られたシリコンの過剰量を異方性エッチングするステッ
プとを含む方法。
【0092】(28)第22項記載の方法において、前
記側壁スペーサは酸化物を含む方法。
【0093】(29)第22項記載の方法において、前
記側壁スペーサは窒化物を含む方法。
【0094】(30)絶縁ゲート電界効果トランジスタ
10は、半導体基板8上に形成される。ソース領域12
及び(又は)ドレイン領域20は、それぞれ、重ドープ
領域14、22、非重なり軽ドープ領域16、24、及
び重なり軽ドープ領域18、26を含む。重なり軽ドー
プ領域18、24及び非重なり軽ドープ領域16、26
のドーピング濃度及び接合深さは、独立に制御され、最
適化される。絶縁層50は、ソース領域12をドレイン
領域20を分離するチャネル領域の上に、かつまたソー
ス領域の重なり軽ドープ領域18及びドレイン領域の重
なり軽ドープ領域26の上にも形成される。ゲート42
は、絶縁層50の上に形成される。2つの実施例の製造
方法が、ここに詳しく開示され、並びに他のシステム及
び方法も開示されている。
【注意】
(C)著作権、* *(管理者)テキサス・インスツル
メンツ社1991。この特許書類の開示の部分は、著作
権及びマスクワーク権保護の適用を受ける資料を含んで
いる。この著作権及びマスクワーク権の所有者は、これ
が特許庁の特許書類綴及び記録内に現れている限り、そ
の特許書類又はその特許の開示書類について何人がファ
クシミリ複製をしようともこれに異議は唱えない、しか
し、これ以外の場合については、いかなる事情があろう
とも、全ての著作権及びマスクワーク権を保有する。
【図面の簡単な説明】
【図1】本発明の好適実施例のトランジスタの構造の横
断面図。
【図2】本発明の第1実施例によるトランジスタ製造の
チャンネルストップ領域及びフィールド絶縁領域形成ス
テップを示す横断面図。
【図3】本発明の第1実施例によるトランジスタの製造
の犠牲酸化層除去ステップを示す横断面図。
【図4】本発明の第1実施例によるトランジスタの製造
の第1軽ドープ領域及び側壁酸化物形成ステップを示す
横断面図。
【図5】本発明の第1実施例によるトランジスタの製造
の側壁スペーサ形成ステップを示す横断面図。
【図6】本発明の第1実施例によるトランジスタの製造
の頂上被覆窒化物層除去ステップを示す横断面図。
【図7】本発明の第1実施例によるトランジスタの製造
の窒化物スペーサ形成ステップを示す横断面図。
【図8】本発明の第1実施例によるトランジスタの製造
の酸化物層除去ステップを示す横断面図。
【図9】本発明の第1実施例によるトランジスタの製造
のエレベーテッドソース及びゲート領域形成ステップを
示す横断面図。
【図10】本発明の第1実施例によるトランジスタの製
造の窒化物スペーサ除去ステップを示す横断面図。
【図11】本発明の第1実施例によるトランジスタの製
造のトレンチ充填ステップを示す横断面図。
【図12】本発明の第1実施例によるトランジスタの製
造の自己整合シリサイドプロセスの例を示す横断面図。
【図13】本発明の第2実施例によるトランジスタの製
造のチャネルストップ領域及びフィールド絶縁領域形成
ステップを示す横断面図。
【図14】本発明の第2実施例によるトランジスタの製
造の多結晶シリコン層形成ステップを示す横断面図。
【図15】本発明の第2実施例によるトランジスタの製
造の横方向アンダーカット及び重なり軽ドープ部分形成
ステップを示す横断面図。
【図16】本発明の第2実施例によるトランジスタの製
造の多結晶シリコンゲート再形成ステップを示す横断面
図。
【図17】本発明の第2実施例によるトランジスタの製
造の非重なり軽ドープ部分及び薄い側壁酸化物形成ステ
ップを示す横断面図。
【図18】本発明の第2実施例によるトランジスタの製
造の厚い側壁スペーサ形成ステップを示す横断面図。
【符号の説明】
8 単結晶シリコン基板 10 NMOSトランジスタ 12 ソース領域 14 ソース領域の重ドープ部分 16 ソース領域の非重なり軽ドープ部分 18 ソース領域の重なり軽ドープ部分 20 ドレイン領域 22 ドレイン領域の重ドープ部分 24 ドレイン領域の非重なり軽ドープ部分 26 ドレイン領域の重なり軽ドープ部分 28 チャネル領域 30 エレベーテッドソース領域 32 エレベーテッドドレイン領域 34 フィールド絶縁領域 36 フィールド絶縁領域 38 チャネルストップ領域 40 チャネルストップ領域 42 ゲート領域 44 ゲート領域 46 多結晶シリコン又はアモルファスシリコン層 48 (ゲート領域内埋設)酸化物層 50 ゲート絶縁領域の酸化物層 52 犠牲絶縁層 56 処分可能ゲート層 58 頂上被覆窒化物層 60 側壁酸化物 62 側壁スペーサ 64 窒化物スペーサ 108 単結晶シリコン基板 110 NMOSトランジスタ 114 ソース領域の重ドープ部分 116 ソース領域の非重なり軽ドープ部分 118 ソース領域の重なり軽ドープ部分 122 ドレイン領域の重ドープ部分 124 ドレイン領域の非重なり軽ドープ部分 126 ドレイン領域の重なり軽ドープ部分 128 チャネル領域 134 フィールド絶縁領域 136 フィールド絶縁領域 138 チャネルストップ領域 140 チャネルストップ領域 142 多結晶シリコン層 150 絶縁層 160 側壁酸化物 162 厚い側壁スペーサ 180 絶縁層 182 横方向アンダーカット

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板と、 重ドープ領域と、第1の選択されたドーピング濃度及び
    接合深さを持つ第1の軽ドープ領域と、及び第2の選択
    されたドーピング濃度及び接合深さを持つ第2の軽ドー
    プ領域とを含むドレイン領域であって、前記第1の選択
    されたドーピング濃度及び接合深さは前記第2の選択さ
    れたドーピング濃度及び接合深さから独立に制御される
    ことができる前記ドレイン領域と、 ソース領域と、 前記ソース領域と前記ドレイン領域とを分離するチャネ
    ル領域と、 前記チャネル領域の上と、前記ドレイン領域の前記第2
    の軽ドープ領域の上と、及び前記ソース領域の前記第2
    の軽ドープ領域の上とに形成される絶縁層と、及び前記
    絶縁層の上に形成されるゲートとを含む絶縁ゲート電界
    効果トランジスタ。
  2. 【請求項2】 半導体基板を提供するステップと、 重ドープ領域と、第1の選択されたドーピング濃度及び
    接合深さを持つ第1の軽ドープ領域と、第2の選択され
    たドーピング濃度及び接合深さを持つ第2の軽ドープ領
    域とを含むドレイン領域であって、前記第1の選択され
    たドーピング濃度及び接合深さは前記第2の選択された
    ドーピング濃度及び接合深さから独立に制御されること
    ができる前記ドレイン領域を形成するステップと、 重ドープ領域と、第1の選択されたドーピング濃度及び
    接合深さを持つ第1の軽ドープ領域と、第2の選択され
    たドーピング濃度及び接合深さを持つ第2の軽ドープ領
    域とを含むソース領域を形成するステップと、 前記ソース領域と前記ドレイン領域とを分離するチャネ
    ル領域の上と、前記ドレイン領域の前記第2の軽ドープ
    領域の上と、前記ソース領域の前記第2の軽ドープ領域
    の上とに絶縁層を形成するステップと、及び前記絶縁層
    の上にゲートを形成するステップとを含む絶縁ゲート電
    界効果トランジスタ製造方法。
JP4169166A 1991-06-26 1992-06-26 絶縁ゲート電界効果トランジスタ及びその製造方法 Pending JPH06163571A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72173991A 1991-06-26 1991-06-26
US721739 1991-06-26

Publications (1)

Publication Number Publication Date
JPH06163571A true JPH06163571A (ja) 1994-06-10

Family

ID=24899118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4169166A Pending JPH06163571A (ja) 1991-06-26 1992-06-26 絶縁ゲート電界効果トランジスタ及びその製造方法

Country Status (3)

Country Link
US (2) US5949105A (ja)
JP (1) JPH06163571A (ja)
KR (1) KR100274555B1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0780895A3 (en) * 1995-12-20 1999-06-16 International Business Machines Corporation Method of producing a trench capacitor DRAM cell
JP2008544487A (ja) * 2005-06-08 2008-12-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ディスポーザブルスペーサを備えた、隆起したソースおよびドレインプロセス

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US5814555A (en) 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US6376330B1 (en) 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
JP2894283B2 (ja) * 1996-06-27 1999-05-24 日本電気株式会社 半導体装置の製造方法
US6063675A (en) * 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6777759B1 (en) 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
US6232188B1 (en) * 1997-07-31 2001-05-15 Texas Instruments Incorporated CMP-free disposable gate process
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6261887B1 (en) * 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US5940698A (en) * 1997-12-01 1999-08-17 Advanced Micro Devices Method of making a semiconductor device having high performance gate electrode structure
US5869379A (en) * 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US6214690B1 (en) * 1997-12-18 2001-04-10 Advanced Micro Devices, Inc. Method of forming a semiconductor device having integrated electrode and isolation region formation
US6127232A (en) * 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
DE69739250D1 (de) * 1997-12-31 2009-03-26 St Microelectronics Srl Hochspannungsfeldeffekttransistor und Verfahren zu dessen Herstellung
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6117741A (en) * 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6160316A (en) * 1998-03-04 2000-12-12 Advanced Micro Devices, Inc. Integrated circuit utilizing an air gap to reduce capacitance between adjacent metal linewidths
US6110786A (en) * 1998-04-16 2000-08-29 Advanced Micro Devices, Inc. Semiconductor device having elevated gate electrode and elevated active regions and method of manufacture thereof
US6083798A (en) * 1998-05-26 2000-07-04 Advanced Micro Devices, Inc. Method of producing a metal oxide semiconductor device with raised source/drain
JP2000077008A (ja) 1998-06-17 2000-03-14 Nec Kansai Ltd カラー陰極線管
JP3164076B2 (ja) * 1998-08-28 2001-05-08 日本電気株式会社 半導体装置の製造方法
US6225661B1 (en) * 1998-09-02 2001-05-01 Advanced Micro Devices, Inc. MOS transistor with stepped gate insulator
US6265256B1 (en) * 1998-09-17 2001-07-24 Advanced Micro Devices, Inc. MOS transistor with minimal overlap between gate and source/drain extensions
US6309936B1 (en) * 1998-09-30 2001-10-30 Advanced Micro Devices, Inc. Integrated formation of LDD and non-LDD semiconductor devices
US6225173B1 (en) 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6200869B1 (en) 1998-11-06 2001-03-13 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit with ultra-shallow source/drain extensions
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6297115B1 (en) 1998-11-06 2001-10-02 Advanced Micro Devices, Inc. Cmos processs with low thermal budget
US6897525B1 (en) * 1998-11-26 2005-05-24 Sanyo Electric Co., Ltd. Semiconductor device and method of manufacturing the same
US6265291B1 (en) 1999-01-04 2001-07-24 Advanced Micro Devices, Inc. Circuit fabrication method which optimizes source/drain contact resistance
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6184097B1 (en) 1999-02-22 2001-02-06 Advanced Micro Devices, Inc. Process for forming ultra-shallow source/drain extensions
US6271095B1 (en) 1999-02-22 2001-08-07 Advanced Micro Devices, Inc. Locally confined deep pocket process for ULSI mosfets
US6225176B1 (en) 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US6271132B1 (en) 1999-05-03 2001-08-07 Advanced Micro Devices, Inc. Self-aligned source and drain extensions fabricated in a damascene contact and gate process
US6492249B2 (en) 1999-05-03 2002-12-10 Advanced Micro Devices, Inc. High-K gate dielectric process with process with self aligned damascene contact to damascene gate and a low-k inter level dielectric
US6194748B1 (en) 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
US6291278B1 (en) 1999-05-03 2001-09-18 Advanced Micro Devices, Inc. Method of forming transistors with self aligned damascene gate contact
FR2794898B1 (fr) * 1999-06-11 2001-09-14 France Telecom Dispositif semi-conducteur a tension de seuil compensee et procede de fabrication
JP2001036038A (ja) * 1999-07-22 2001-02-09 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6265293B1 (en) 1999-08-27 2001-07-24 Advanced Micro Devices, Inc. CMOS transistors fabricated in optimized RTA scheme
US6482724B1 (en) * 1999-09-07 2002-11-19 Texas Instruments Incorporated Integrated circuit asymmetric transistors
US6403433B1 (en) 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US6248637B1 (en) 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
EP1091414A3 (en) * 1999-10-07 2005-03-16 Lucent Technologies Inc. MOSFET with tapered gate and method of manufacturing it
WO2001050536A1 (fr) * 2000-01-07 2001-07-12 Sharp Kabushiki Kaisha Dispositif semi-conducteur, son procede de fabrication et dispositif de traitement de l'information
US6448129B1 (en) * 2000-01-24 2002-09-10 Micron Technology, Inc. Applying epitaxial silicon in disposable spacer flow
US6333244B1 (en) 2000-01-26 2001-12-25 Advanced Micro Devices, Inc. CMOS fabrication process with differential rapid thermal anneal scheme
EP1134798A1 (de) * 2000-03-15 2001-09-19 Infineon Technologies AG Halbleiter-Bauelement, Verfahren zu seiner Herstellung und Verfahren zur Herstellung von elektrischen Verbindungen in bzw. auf einem Halbleiter-Substrat
US6372589B1 (en) 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
US6420218B1 (en) 2000-04-24 2002-07-16 Advanced Micro Devices, Inc. Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6368947B1 (en) 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6361874B1 (en) 2000-06-20 2002-03-26 Advanced Micro Devices, Inc. Dual amorphization process optimized to reduce gate line over-melt
US6399450B1 (en) 2000-07-05 2002-06-04 Advanced Micro Devices, Inc. Low thermal budget process for manufacturing MOS transistors having elevated source and drain regions
US6630386B1 (en) 2000-07-18 2003-10-07 Advanced Micro Devices, Inc CMOS manufacturing process with self-amorphized source/drain junctions and extensions
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US6335254B1 (en) 2000-08-09 2002-01-01 Micron Technology, Inc. Methods of forming transistors
US6472282B1 (en) 2000-08-15 2002-10-29 Advanced Micro Devices, Inc. Self-amorphized regions for transistors
US6727149B1 (en) * 2000-12-07 2004-04-27 Advanced Micro Devices, Inc. Method of making a hybrid SOI device that suppresses floating body effects
KR100363097B1 (ko) * 2001-01-06 2002-12-05 삼성전자 주식회사 기판과 컨택 패드간의 컨택 저항을 줄인 컨택 구조체 및그 형성방법
US6306715B1 (en) * 2001-01-08 2001-10-23 Chartered Semiconductor Manufacturing Ltd. Method to form smaller channel with CMOS device by isotropic etching of the gate materials
KR100493206B1 (ko) * 2001-01-16 2005-06-03 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6756277B1 (en) * 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6495437B1 (en) 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6509253B1 (en) 2001-02-16 2003-01-21 Advanced Micro Devices, Inc. T-shaped gate electrode for reduced resistance
US20020123180A1 (en) * 2001-03-01 2002-09-05 Peter Rabkin Transistor and memory cell with ultra-short gate feature and method of fabricating the same
US6420776B1 (en) 2001-03-01 2002-07-16 Amkor Technology, Inc. Structure including electronic components singulated using laser cutting
US6534351B2 (en) 2001-03-19 2003-03-18 International Business Machines Corporation Gate-controlled, graded-extension device for deep sub-micron ultra-high-performance devices
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US7176109B2 (en) 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
KR100406537B1 (ko) * 2001-12-03 2003-11-20 주식회사 하이닉스반도체 반도체장치의 제조 방법
US6570200B1 (en) * 2001-12-12 2003-05-27 Samsung Electronics Co., Ltd. Transistor structure using epitaxial layers and manufacturing method thereof
US6902980B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a high performance MOSFET device featuring formation of an elevated source/drain region
US6780691B2 (en) * 2002-08-16 2004-08-24 Chartered Semiconductor Manufacturing Ltd. Method to fabricate elevated source/drain transistor with large area for silicidation
US6800530B2 (en) * 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US6960512B2 (en) * 2003-06-24 2005-11-01 Taiwain Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device having an improved disposable spacer
US6905923B1 (en) 2003-07-15 2005-06-14 Advanced Micro Devices, Inc. Offset spacer process for forming N-type transistors
US20050026342A1 (en) * 2003-07-28 2005-02-03 Ka-Hing Fung Semiconductor device having improved short channel effects, and method of forming thereof
TWI361490B (en) * 2003-09-05 2012-04-01 Renesas Electronics Corp A semiconductor device and a method of manufacturing the same
JP4580657B2 (ja) * 2004-01-30 2010-11-17 株式会社東芝 半導体装置およびその製造方法
US7312125B1 (en) 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
US20050208726A1 (en) * 2004-03-19 2005-09-22 Sun-Jay Chang Spacer approach for CMOS devices
JP3854290B2 (ja) * 2004-06-17 2006-12-06 株式会社東芝 半導体装置およびその製造方法
DE102004032917B4 (de) * 2004-07-07 2010-01-28 Qimonda Ag Verfahren zum Herstellen eines Doppel-Gate-Transistors
KR100626383B1 (ko) 2004-08-16 2006-09-20 삼성전자주식회사 부분적으로 높여진 소오스/드레인을 가지는 트랜지스터 및그 제조방법
JP2006173438A (ja) * 2004-12-17 2006-06-29 Yamaha Corp Mos型半導体装置の製法
US7348248B2 (en) * 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8445345B2 (en) * 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US8685817B1 (en) * 2012-11-19 2014-04-01 International Business Machines Corporation Metal gate structures for CMOS transistor devices having reduced parasitic capacitance
WO2017111774A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Transistor with inner-gate spacer

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182023A (en) * 1977-10-21 1980-01-08 Ncr Corporation Process for minimum overlap silicon gate devices
US4442449A (en) * 1981-03-16 1984-04-10 Fairchild Camera And Instrument Corp. Binary germanium-silicon interconnect and electrode structure for integrated circuits
JPH0682837B2 (ja) * 1982-09-16 1994-10-19 財団法人半導体研究振興会 半導体集積回路
DE3581797D1 (de) * 1984-12-27 1991-03-28 Toshiba Kawasaki Kk Misfet mit niedrigdotiertem drain und verfahren zu seiner herstellung.
JPS61216364A (ja) * 1985-03-20 1986-09-26 Fujitsu Ltd 半導体装置
JPS6264764A (ja) * 1985-09-04 1987-03-23 松下冷機株式会社 冷蔵庫等の底梱包材
JPS6395670A (ja) * 1986-10-13 1988-04-26 Matsushita Electric Ind Co Ltd Mos型半導体装置
IT1215558B (it) * 1987-06-11 1990-02-14 Sgs Microelettronica Spa Procedimento di programmazione per memorie rom e tecnolgia mos con ossido di gate e giunzioni sottili.
JP2633571B2 (ja) * 1987-07-30 1997-07-23 株式会社東芝 紫外線消去型不揮発性半導体装置
US4771014A (en) * 1987-09-18 1988-09-13 Sgs-Thomson Microelectronics, Inc. Process for manufacturing LDD CMOS devices
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
FR2654258A1 (fr) * 1989-11-03 1991-05-10 Philips Nv Procede pour fabriquer un dispositif a transistor mis ayant une electrode de grille en forme de "t" inverse.
US5047816A (en) * 1990-08-21 1991-09-10 Vlsi Technology, Inc. Self-aligned dual-gate transistor
KR960000225B1 (ko) * 1991-08-26 1996-01-03 가부시키가이샤 한도오따이 에네루기 겐큐쇼 절연게이트형 반도체장치의 제작방법
JP2702338B2 (ja) * 1991-10-14 1998-01-21 三菱電機株式会社 半導体装置、及びその製造方法
KR100275717B1 (ko) * 1993-12-28 2000-12-15 윤종용 다결정 실리콘 박막 트랜지스터 제조 방법
KR960006004A (ko) * 1994-07-25 1996-02-23 김주용 반도체 소자 및 그 제조방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0780895A3 (en) * 1995-12-20 1999-06-16 International Business Machines Corporation Method of producing a trench capacitor DRAM cell
JP2008544487A (ja) * 2005-06-08 2008-12-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ディスポーザブルスペーサを備えた、隆起したソースおよびドレインプロセス

Also Published As

Publication number Publication date
KR100274555B1 (ko) 2000-12-15
KR930001483A (ko) 1993-01-16
US5716861A (en) 1998-02-10
US5949105A (en) 1999-09-07

Similar Documents

Publication Publication Date Title
JPH06163571A (ja) 絶縁ゲート電界効果トランジスタ及びその製造方法
US6372559B1 (en) Method for self-aligned vertical double-gate MOSFET
EP0480446B1 (en) Method of fabricating a high-performance insulated-gate-field-effect transistor and transistor fabricated by it
US6806534B2 (en) Damascene method for improved MOS transistor
US7332439B2 (en) Metal gate transistors with epitaxial source and drain regions
US5496750A (en) Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US6939751B2 (en) Method and manufacture of thin silicon on insulator (SOI) with recessed channel
US6916694B2 (en) Strained silicon-channel MOSFET using a damascene gate process
JP2964925B2 (ja) 相補型mis型fetの製造方法
US6656824B1 (en) Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
JP2735041B2 (ja) 半導体装置およびその製造方法
JPH10135482A (ja) 側壁誘電体をそなえた使い捨てのゲートを使用するmosfetを形成する方法
JP4119663B2 (ja) 非エピタキシャル隆起型ソース/ドレインおよび自己整合型ゲートを有するcmos構造と製造方法
JP2006278974A (ja) 半導体装置及びその製造方法
JPH03248433A (ja) 半導体装置の製造方法
US6734109B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
US6187642B1 (en) Method and apparatus for making mosfet's with elevated source/drain extensions
JP3149414B2 (ja) 浅い接合部を有する半導体デバイスを製作する方法
JP2003188373A (ja) 半導体装置およびその製造方法
US20040219724A1 (en) Methods of fabricating MOS field effect transistors with pocket regions
US20060199343A1 (en) Method of forming MOS transistor having fully silicided metal gate electrode
JP2000049348A (ja) エレベ―テッドソ―ス・ドレイン構造を有する半導体装置及びその製造方法
JPH0945899A (ja) 縦型トランジスタを持つ半導体装置の製造方法
JPH06177377A (ja) 絶縁ゲート電界効果トランジスタ
JP4833527B2 (ja) 絶縁ゲート型半導体装置及びその駆動方法