KR20240013860A - 질화규소 에칭 조성물 및 방법 - Google Patents

질화규소 에칭 조성물 및 방법 Download PDF

Info

Publication number
KR20240013860A
KR20240013860A KR1020247002231A KR20247002231A KR20240013860A KR 20240013860 A KR20240013860 A KR 20240013860A KR 1020247002231 A KR1020247002231 A KR 1020247002231A KR 20247002231 A KR20247002231 A KR 20247002231A KR 20240013860 A KR20240013860 A KR 20240013860A
Authority
KR
South Korea
Prior art keywords
composition
silicon nitride
silane
acid
phosphoric acid
Prior art date
Application number
KR1020247002231A
Other languages
English (en)
Inventor
스티븐 엠 빌로도
성진 홍
싱천 우
민치에 양
엠마뉴얼 아이 쿠퍼
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20240013860A publication Critical patent/KR20240013860A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Weting (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

상부에 질화규소 재료, 폴리실리콘, 산화규소 재료 및/또는 실리사이드 재료를 갖는 마이크로전자 디바이스로부터 폴리실리콘, 산화규소 재료 및/또는 실리사이드 재료에 비해 질화규소 재료를 선택적으로 제거하기에 유용한 조성물이 제공된다. 본 발명의 조성물은 3D NAND 구조물의 에칭 시 특히 유용하다.

Description

질화규소 에칭 조성물 및 방법{SILICON NITRIDE ETCHING COMPOSITION AND METHOD}
본 발명은 산화규소, 폴리실리콘 및/또는 금속 실리사이드의 존재 하에 질화규소를 선택적으로 에칭하기 위한 조성물 및 방법, 및 보다 특히 특별히 다층 반도체 웨이퍼 구조물 내의 노출된 또는 아래에 놓인 산화규소, 폴리실리콘 및/또는 금속 실리사이드 층에 비해 높은 에칭 속도 및 높은 선택성으로 질화규소 층을 효과적으로 및 효율적으로 에칭하기 위한 조성물 및 방법에 관한 것이다.
디바이스 성능을 개선시킬 뿐만 아니라 디바이스 밀도를 급격하게 증가시키는 이중 이점을 제공하는, 디바이스 치수의 감소에 계속해서 중점을 두는 개선된 마이크로전자 디바이스 성능에 대한 요구가 계속되고 있다. 감소된 디바이스 치수가 전하 캐리어 (예: 전자)가 이동해야 하는 경로의 단축을 초래하기 때문에 디바이스 성능이 개선된다.
예를 들어, 금속-옥시드-반도체 전계-효과 트랜지스터(Metal-Oxide-Semiconductor Field-Effect Transistor; MOSFET) 게이트 전극은 전기적 접촉 지점으로서 게이트 표면 및 소스(source) 및 드레인(drain) 영역을 갖는다. 소스 및 드레인 영역 사이의 거리는 게이트 전극의 채널 길이를 형성하며, 이에 따라 디바이스 치수를 감소시킴으로써 채널 길이가 동반 감소한다. 그 결과, 디바이스의 스위칭(switching) 속도가 증가한다.
디바이스 치수를 감소시키면 마이크로전자 디바이스 칩 상의 디바이스들의 패키징(packaging) 밀도의 증가가 초래됨은 자명하다. 이와 같은 증가된 패키징 밀도는 디바이스들 사이의 인터커넥트(interconnect) 경로의 길이의 급격한 감소를 가져오며, 이는 상기와 같은 인터커넥트 경로가 전체 디바이스 성능에 대해 미치는 상대적인 부정적인 영향 (예컨대, 저항성 전압 강하, 누화(cross talk), 또는 RC 지연)을 감소시킨다.
그러나, 이러한 요건은 증가된 기생 커패시턴스(parasitic capacitance), 디바이스 접촉 저항 (MOSFET 디바이스 내의 게이트, 소스 및 드레인 접촉), 및 패턴 정의에 대한 타이트한 용인도의 문제를 일으킨다. 매우 작은 1 마이크로미터 미만 또는 1/2 마이크로미터 미만 또는 심지어 1/4 마이크로미터 미만의 현대 규소 디바이스의 경우, 접촉부의 패턴화를 위한 통상의 포토리소그래피 기술은 중요한 치수들에 대한 필요한 용인도를 충족시키지 못할 것이다. 해상도 및 특징부 크기를 개선시키기 위해 탐구되어 온 방법은, 자가-정렬 폴리실리콘 (폴리-Si) 게이트 구조물의 형성을 포함하며, 이는 중요한 치수 용인도의 문제를 해결하는데 도움이 된다. 이 방법을 사용하면, 게이트 전극의 소스 및 드레인에 대해 형성되는 접촉점들이 폴리-Si 게이트와 자가-정렬한다.
자가-정렬 게이트 구조물의 형성 동안에 직면하는 하나의 문제는, 폴리실리콘, 산화규소 및/또는 금속 실리사이드 재료에 비해 질화규소 재료를 선택적으로 제거하는 것이었다. 예를 들어, 게이트 전극을 피복하는 질화규소 층의 비등방성 에칭 동안에, 아래에 놓인 산화규소 층 및 규소 기판이 종종 또한 손상되어 반도체 디바이스의 신뢰성의 저하를 유발한다.
질화규소 (Si3N4)를 선택적으로 제거하기 위한 통상의 습식 에칭 기술은 물이 있는 고온 (대략 145-180℃) 인산 (H3PO4) 용액, 전형적으로 85%의 인산 및 15%의 물 (부피 기준)을 이용하여 왔다. 신선한 고온 인산을 사용하면, 전형적인 Si3N4:SiO2 선택성이 약 40:1이다. 유리하게는, 니트라이드 층이 제거됨에 따라, 르 샤틀리에(Le Chatelier) 원리와 일관되는 수화된 산화규소 형태가 디바이스 표면으로부터의 산화규소의 추가 제거를 억제하여, 선택성이 사용에 따라 점차 증가한다. 고온 인산 에칭의 사용과 연관된 단점은, 금속 실리사이드 재료 (예: 게이트 접촉 재료)의 부식, 산화규소의 에칭, 및 공정 용액 중의 특정량의 물의 유지와 연관된 어려움으로 인한 공정 제어를 포함한다. 또한, 고온 인산은 많은 제조업체에 의해 점점더 선호되고 있는 단일 웨이퍼 도구에 적합화 하기가 어려운 매질이어 왔다.
질화규소를 선택적으로 제거하기 위한 또 다른 방식은, 플루오린화수소산을 포함하는 조성물의 사용을 포함하지만, 상기 조성물은 또한 산화규소를 제거한다. 약 10:1의 Si3N4:SiO2 선택성이 희석을 통해 달성될 수 있지만, 질화규소의 에칭 속도가 희생되거나 또는 주위 압력 초과의 압력이 사용되어야 한다. 질화규소를 제거하기 위한 또 다른 공정은 할로겐화된 기체 종을 사용하는 건식 에칭 제거를 포함하지만, Si3N4:SiO2 선택성 비가 상기한 습식 에칭 공정을 사용하여 수득된 것보다 훨씬 더 불량하다.
오늘날 모든 주요 메모리 칩 제조업체에서 개발 중인 3D-NAND 구조물은, 옥시드 (PETEOS)에 의해 정의되는 고종횡비(high aspect ratio) "슬릿"으로부터의 질화규소 (SiN)의 고선택성 에칭을 필요로 한다. 일반적인 고온 인산 "핫 포스(hot phos)" 공정에서, 선택성은 일정량의 니트라이드를 사전 용해시킴으로써 제어된다. 용해된 질화규소는 난용성 옥시드로 전환되며; 이는 에칭 동안에 발생하지만, 옥시드가 곧 슬릿의 개구부 근처에 침착되기 시작하여 궁극적으로 개구부가 폐색된다. US 2017/0287725, 특히 도 1D를 또한 참조하기 바라며, 이는 콜로이드성 실리카의 침착이 마이크로전자 디바이스 내의 갭(gap) 또는 트렌치(trench)를 "핀치 오프(pinch off)"하는 경향이 있는 것인 예시를 나타낸다. 그 결과, 사전-에칭 옥시드 농도의 공정 창이 매우 좁으며, 제어하기가 어렵고, 에칭 조(bath)를 매우 자주 교체해야 한다. 따라서, 옥시드 재침착 속도를 최소화해야 한다.
또한, 깊은 슬릿은 에칭하는데 오랜 시간이 걸린다 (전형적으로 ≥1시간). HF를 소량으로 첨가하면 에칭 속도가 증가할 뿐만 아니라, 가용성 실리카 종의 중합 및 결과적으로 옥시드 재침착 속도가 증가한다. 아울러, HF 및 관련 플루오린화 종의 휘발성이 공정 제어 어려움을 야기한다.
평면 NAND 기술에서, 스케일링(scaling)은 대체로 리소그래피에 의해 주도된다. 3D NAND를 스케일링 시, 초고종횡비 (HAR) 특징부를 갖는 복잡한 3D 구조물을 생성하기 위해 극도의 정밀도 및 공정 반복성이 요구된다. 따라서, 3D NAND로 성공을 달성하려면 가변성을 최소화하는 혁신적인 패턴화 용액이 필요하다 (문헌[Overcoming Challenges in 3D NAND Volume Manufacturing] 참조. 솔리드 스테이트 테크놀로지(Solid State Technology) 웹사이트: http://electroig.com/blog/2017/07/overcoming-challenges-in-3d-nand-volume-manufacturing/)
극도의 HAR 특징부를 에칭 시 정밀도는, 데이터의 판독, 기록 및 소거를 위해 주변 CMOS 회로에 셀들을 연결하는 셀 액세스를 위한 채널 홀 및 트렌치뿐만 아니라 그의 고유 계단 구조 아키텍처(architecture)를 최적화하는데 있어서 중요하다. 메모리 스택(stack)의 수직 피치(pitch)가 약 50 nm이면, 96층 스택은 대략 4.8 μm의 높이이다. 이는 약 100:1의 도전적인 종횡비에 상응한다.
부가적으로, 다층 스택 높이가 증가함에 따라, 메모리 어레이의 상단 및 하단에서 일관된 에칭 및 침착 프로파일을 달성하는데 있어서의 어려움도 증가한다. 예를 들어, 약 100:1의 비에 미뤄볼 때, 메모리 스택에서의 Si3N4의 선택적 제거가 습식-에칭 난점이 된다. SiO2의 에칭이 전혀 없이, 웨이퍼를 가로 질러 그리고 스택의 상단 및 하단에서 일관적으로 Si3N4를 제거하는 것은 어렵다. 96층 미만에서는 상기 과제가 고온 인산 (약 160℃)을 사용하여 수행되지만; 96층 및 그 초과에서는 공정 여유치를 개선시키기 위해, 특수 제형화된 습식 에칭 화학물질이 필요하다.
한 측면에서, 본 발명은, 산화규소에 비해 질화규소의 에칭에 대한 선택성과 함께, 질화규소 및 산화규소를 포함하는 표면을 갖는 기판을 에칭하는데 유용한 조성물을 제공한다. 조성물은 인산; 알킬아미노 알콕시 실란 및 알킬아미노 히드록실 실란으로부터 선택된 적어도 하나의 실란 화합물; 물을 포함하는 용매; 및 임의로 플루오라이드 화합물을 포함한다.
도 1-4는 에칭 속도 대 Si 로딩의 견지에서 본 발명의 몇몇 예의 비교를 나타낸다. 질화규소 및 산화규소 에칭 속도는 CVD 질화규소 필름 및 PECVD 산화규소 필름을 사용하여 측정되었다. 산화규소 필름은 소량의 필름 손실이 측정될 수 있도록 4시간 동안 에칭 제형에 노출되었다. 질화규소 필름은 5분 및 10분 동안 에칭되었다. 필름 두께는 가공 전후에 분광 타원계(spectroscopic ellipsometry)에 의해 측정되었으며, 이들 두께를 사용하여 에칭 속도를 계산하였다.
구체적으로, 도면은 다음을 도시한다:
도 1은 Si 로딩에 따른 에칭 속도를 나타내는 그래프를 도시한다.
도 2는 Si 로딩에 따른 에칭 속도를 표시하는 그래프를 도시한다.
도 3은 Si 로딩에 따른 85% 인산에서의 에칭 속도를 표시하는 그래프를 도시한다.
도 4는 선택성 ("실시예 B"), ("실시예 A") 및 85% H3PO4를 나타내는 그래프를 도시한다.
도 5는 또한 기재된 바와 같은 선택적 에칭 단계 전후의, 기재된 바와 같은 예시적 기판의 구조를 개략적으로 나타낸다.
발명의 상세한 설명
본 발명의 한 측면은, 산화규소 전구체 소스로부터 침착된 폴리실리콘 (폴리-Si) 및 산화규소 재료에 비해 질화규소의 선택적 제거에서 유용하여, 마이크로전자 디바이스로부터의 질화규소 재료의 적어도 부분적인 제거를 위한 습식 에칭제로서 유용한 조성물에 관한 것이다. 존재할 수 있는 금속 실리사이드 재료는 상기 제거 조성물에 의해 실질적으로 부식되지 않아야 한다.
본 발명은 또한, 질화규소 및 산화규소를 함유하는 기판으로부터 질화규소를 제거하기 위해 습식 에칭 조성물을 사용하기 위한 방법, 공정 및 시스템을 제공한다. 조성물은 유리하게는 질화규소의 높은 에칭 속도, 유리하게는 산화규소에 비해 질화규소에 대한 높은 선택성, 또는 이들 성능 특성의 유리한 균형을 생성할 수 있다.
참조의 용이함을 위해, "마이크로전자 디바이스"는, 마이크로전자, 집적 회로 또는 컴퓨터 칩 적용 시 사용을 위해 제조된 3D NAND 구조물, 평판 디스플레이, 및 마이크로전자기계 시스템 (MEMS)을 포함하는 반도체 기판에 상응한다. 용어 "마이크로전자 디바이스"는 어떠한 식으로든 제한하는 것으로 의도되지 않으며, 네거티브 채널 금속 옥시드 반도체 (nMOS) 및/또는 포지티브 채널 금속 옥시드 반도체 (pMOS) 트랜지스터를 포함하는 임의의 기판을 포함하고, 궁극적으로 마이크로전자 디바이스 또는 마이크로전자 어셈블리가 될 것으로 이해되어야 한다.
본원에 사용된 바와 같이, 상부에 그러한 니트라이드 재료를 갖는 마이크로전자 디바이스로부터 질화규소 재료를 제거하는데 있어서 "적합성"은 마이크로전자 디바이스로부터의 질화규소 재료의 적어도 부분적인 제거에 상응한다.
본원에 사용된 바와 같이, "질화규소" 및 "Si3N4"는 순수한 질화규소 (Si3N4)뿐만 아니라, 결정 구조 내에 수소, 탄소 및/또는 산소 불순물을 포함하는 순수하지 않은 질화규소에 상응한다.
본원에 사용된 바와 같이, "산화규소"란, 산화규소 (SiOx), 예를 들어 SiO2, "열 옥시드" (ThOx) 등으로 제조된 박막을 지칭한다. 산화규소는 임의의 방법에 의해, 예컨대 TEOS 또는 또 다른 소스로부터의 화학적 증착을 통한 침착에 의해, 또는 열 침착시킴으로써 기판 상에 배치될 수 있다. 산화규소는 일반적으로 상업적으로 유용한 낮은 수준의 다른 재료 또는 불순물을 함유한다. 산화규소는 마이크로전자 디바이스의 특징부로서의 마이크로전자 디바이스 기판의 일부로서, 예를 들어 절연 층으로서 존재할 수 있다.
본원에 사용된 바와 같이, "질화규소 재료의 적어도 부분적인 제거"는, 노출 된 질화규소 층의 적어도 일부의 제거에 상응한다. 예를 들어, 질화규소 재료의 부분적인 제거는, Si3N4 측벽을 형성하도록 게이트 전극을 피복/보호하는 질화규소 층의 비등방성 제거를 포함한다. 본 발명의 조성물은 보다 일반적으로 폴리실리콘 및/또는 산화규소 층에 비해 질화규소 재료를 실질적으로 제거하기 위해 사용될 수 있는 것으로 본원에서 또한 고려된다. 이와 같은 상황에서, "실질적인 제거"는 한 실시양태에서 적어도 90%로서 정의되며, 또 다른 실시양태에서 적어도 95%, 및 또 다른 실시양태에서 적어도 99%의 질화규소 재료가 본 발명의 조성물을 사용하여 제거된다.
본원에 사용된 바와 같이, "약"은 적시된 값의 +/- 5%에 상응하도록 의도된다.
본원에 사용된 바와 같이, "금속 실리사이드"는, 종 Ni, Pt, Co, Ta, Mo, W 및 Ti를 포함하는 임의의 실리사이드, 예컨대 이에 제한되지는 않으나 TiSi2, NiSi, CoSi2, NiPtSi, 탄탈럼 실리사이드, 몰리브데넘 실리사이드, 및 텅스텐 실리사이드에 상응한다.
"규산"은 화학식 [SiOx(OH)4-2x]n을 갖는 규소, 수소 및 산소의 화학적 화합물들의 부류에 대한 일반적인 명칭이며, 화합물 메타규산 ((H2SiO3)n), 오르토규산 (H4SiO4), 이규산 (H2Si2O5) 및 피로규산 (H6Si2O7)을 포함한다. 규산은 관련 기술분야의 통상의 기술자에게 널리 공지된 많은 방식으로, 예를 들어 미세한 실리카 분말 (바람직하게는 직경 1 μm 또는 그 미만), 알콕시실란 (예: 테트라메톡시실란 (TMOS), 테트라에톡시실란 (TEOS), 테트라-n-프로폭시실란, 테트라-n-부톡시실란), 아미노 기를 갖는 알콕시실란 (예: 아미노트리에톡시실란, 헥사에톡시디실라잔), 하나 이상의 할로겐 또는 슈도할로겐 기를 갖는 알콕시실란 (예: 트리에톡시클로로실란, 트리에톡시플루오로실란, 트리에톡시(이소시아네이토)실란, 디에톡시디클로로실란), 또는 이들의 조합을 수화시킴으로써 수득될 수 있다. 참조의 용이함을 위해, "알콕시실란"은 이하에서 알콕시실란, 아미노 기를 갖는 알콕시실란, 및 하나 이상의 할로겐 또는 슈도할로겐 기를 갖는 알콕시실란을 포함하도록 사용될 것이다.
본원에 기재된 바와 같이, 산화규소 층은 산화규소 전구체 소스, 예를 들어 TEOS로부터 침착될 수 있거나, 또는 열 침착된 산화규소일 수 있다. 다른 전형적인 저 k 재료 "저 k 유전체 재료"는 층상 마이크로전자 디바이스 내에서 유전체 재료로서 사용되는 임의의 재료에 상응하며, 여기서 재료는 약 3.5 미만의 유전 상수를 갖는다. 특정 실시양태에서, 저 k 유전체 재료는 저극성(low-polarity) 재료, 예컨대 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 재료, 유기실리케이트 유리 (OSG), TEOS, 플루오린화 실리케이트 유리 (FSG), 이산화규소, 산탄화규소, 산질화규소, 질화규소, 탄소-도핑된 옥시드 (CDO) 또는 탄소-도핑된 유리, 예를 들어 노벨러스 시스템즈 인크.(Novellus Systems, Inc.)로부터의 코랄(CORAL)™, 어플라이드 머티리얼스 인크.(Applied Materials, Inc.)로부터의 블랙 다이아몬드(BLACK DIAMOND)™ (예: PECVD에 대해 BD1, BD2 및 BD3 칭호), 다우(Dow)로부터의 SiLK™ 유전체 수지 (다관능성 시클로펜타디엔온 및 아세틸렌-함유 재료의 반응에 의한 가교된 폴리페닐렌에 기반한 중합체; 예를 들어, 본원에 참조로 포함되는 미국 특허 번호 5,965,679 참조), 및 나노포어 인크.(Nanopore, Inc.)의 나노글래스(NANOGLASS)™ (실리카 에어로겔/제로겔 (나노다공성 실리카로 공지됨)) 등을 포함한다. 저 k 유전체 재료는 다양한 밀도 및 다양한 다공도를 가질 수 있음을 인지하여야 한다.
본 발명의 조성물은 양호한 금속 상용성, 예를 들어, 인터커넥트 금속 및/또는 인터커넥터 금속 실리사이드 재료에 대한 낮은 에칭 속도를 가져야 한다. 관심 금속은 구리, 텅스텐, 코발트, 몰리브데넘, 알루미늄, 탄탈럼, 티타늄 및 루테늄을 포함하나 이에 제한되지는 않는다. 관심 실리사이드는, 종 Ni, Pt, Co, Ta, Mo, W 및 Ti를 포함하는 임의의 실리사이드, 예컨대 이에 제한되지는 않으나 TiSi2, NiSi, CoSi2, NiPtSi, 탄탈럼 실리사이드, 몰리브데넘 실리사이드, 및 텅스텐 실리사이드를 포함한다.
본 발명의 조성물은 이하에서 보다 완전히 기재된 바와 같은 광범위하게 다양한 특정 제형으로 구현될 수 있다.
조성물의 특정 성분이 제로 하한을 포함하는 중량 백분율 범위와 관련하여 논의되는 그러한 모든 조성물에서, 이러한 성분은 조성물의 다양한 특정 실시양태에서 존재하거나 부재할 수 있음, 및 이러한 성분이 존재하는 경우에 그것들은 이러한 성분이 사용되는 조성물의 총 중량을 기준으로 0.001 중량% 정도의 낮은 농도로 존재할 수 있음을 이해할 것이다.
조성물은 질화규소에 대한 원하는 에칭을 생성하기에 효과적인 양의 수성 인산 (예를 들어, 농축 인산)을 포함한다. 용어 "수성 인산"이란, 조성물을 형성하기 위해 조성물의 다른 구성성분들과 혼합 또는 조합되는 조성물의 구성성분을 지칭한다. 용어 "인산 고체"란, 수성 인산 구성성분으로부터 제조된 조성물 또는 수성 인산 구성성분의 비-수성 성분을 지칭한다.
조성물 중에 함유된 인산 고체의 양은, 에칭 조성물의 다른 재료들과 조합하여 원하는 에칭 성능, 예컨대 원하는 질화규소 에칭 속도 및 선택성을 제공할 양일 수 있으며, 이는 전형적으로 비교적 많은 양 (농도)의 인산 고체를 필요로 한다. 예를 들어, 에칭 조성물은 조성물의 총 중량을 기준으로 적어도 약 50 중량%, 예를 들어 조성물의 총 중량을 기준으로 적어도 70 중량%, 또는 적어도 약 80 또는 85 중량%인 인산 고체의 양을 함유할 수 있다.
원하는 양의 인산 고체를 제공하기 위해, 조성물은 다른 구성성분들 (임의로 하나의 구성성분은 일부 형태에서 물임)과 혼합 또는 조합되어 조성물을 생성하는 구성성분으로서 "농축" 인산을 함유할 수 있다. "농축" 인산이란, 적은 양 또는 최소량의 물의 존재 하에 및 다른 구성성분들의 실질적인 부재 (예를 들어, 임의의 비-수계(non-water) 또는 비-인산 고체 재료 0.5 또는 0.1 중량% 미만) 하에 많은 양 또는 최대량의 인산 고체를 함유하는 수성 인산 구성성분을 지칭한다. 농축 인산은 전형적으로, 약 15 또는 20 중량%의 물 중에 적어도 약 80 또는 85 중량%의 인산 고체를 갖는 것으로 간주될 수 있다. 다르게는, 조성물은, 예를 들어 에칭 조성물의 다른 구성성분들 또는 임의의 방식으로 형성된 균등물과 조합되기 전 또는 그 후에 소정량의 물로 희석된 농축 인산을 의미하는, 물로 희석되는 농축 인산의 양을 포함하는 것으로 간주될 수 있다. 또 다른 별법으로서, 조성물의 구성성분은 농축 인산 또는 희석 인산일 수 있으며, 에칭 조성물은 상이한 구성성분의 성분으로서 또는 별도의 물 구성성분으로서 추가량의, 조성물에 제공되는 물을 함유할 수 있다.
예로서, 농축 인산을 사용하여 조성물을 형성하는 경우, 농축 인산 (물 중의 85 중량%)의 양은 조성물의 총 중량을 기준으로 조성물의 적어도 60 중량%, 예를 들어 적어도 80 중량% 또는 적어도 90, 93, 95 중량%, 또는 적어도 98 중량%인 양일 수 있다.
조성물은 언급된 구성성분들, 및 임의적 구성성분들의 임의의 조합을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있다. 본 설명 전반에 걸쳐 일반적인 관례로서, 명시된 구성성분들 또는 재료들의 군으로 "본질적으로 이루어진"이라고 언급한 기재된 바와 같은 조성물 또는 그의 구성성분 또는 성분은, 명시된 구성성분들 또는 재료들과 적거나 미미한 양 이하의 다른 구성성분들 또는 재료들, 예를 들어 5, 2, 1, 0.5, 0.1 또는 0.05 중량부 이하의 다른 구성성분들 또는 재료들을 함유하는 조성물에 관한 것이다. 예를 들어, 수성 인산; (i) 알킬아미노 알콕시실란 및 (ii) 알킬아미노 히드록실 실란으로부터 선택된 적어도 하나의 실란 화합물; 및 물을 포함하는 용매; 및 기재된 바와 같은 임의적 구성성분들로 본질적으로 이루어진 재료들을 함유하는 조성물이란, 이들 구성성분, 및 5, 2, 1, 0.5, 0.1 또는 0.05 중량부 이하의, 나타낸 재료들 이외의 임의의 다른 용해된 또는 비용해된 재료 또는 재료들 (개별적으로 또는 합계로서)을 함유하는 조성물을 의미한다.
본원에 사용된 바와 같이, "플루오라이드 화합물"은 이온성 플루오라이드 이온 (F-) 또는 공유 결합된 플루오린을 포함하는 종에 상응한다. 플루오라이드 종은 플루오라이드 종으로서 포함되거나 또는 계내 생성될 수 있음을 인지하여야 한다. 특정 실시양태에서, 플루오라이드 이온을 생성할 수 있는 상기 화합물은 HF, 모노플루오로인산 (MFPA), 디플루오로인산 (DFPA) 또는 헥사플루오로인산에서 유래될 것이다. 농축 인산 조성물에서, HF는 대체로 모노플루오로인산 (MFPA)의 형태로 존재할 것이다. 특정 실시양태에서, 첨가 및 블렌딩을 단순화하기 위해 저휘발성 MFPA 또는 DFPA가 바로 조성물에서 사용될 수 있다. 다른 실시양태에서, 플루오라이드 화합물은 CsF 및 KF로부터 선택될 수 있다. 다른 실시양태에서, 플루오라이드 화합물은 테트라메틸암모늄 헥사플루오로포스페이트; 암모늄 헥사플루오로포스페이트; 암모늄 플루오라이드; 암모늄 비플루오라이드; 각각 화학식 NR'4BF4 및 PR'4BF4 (여기서, 각각의 R'은 서로 동일하거나 상이할 수 있고, 수소, 직쇄형, 분지형 또는 시클릭 C1-C6 알킬 (예: 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), 및 직쇄형 또는 분지형 C6-C10 아릴 (예: 벤질)로부터 선택됨)를 갖는 4급 암모늄 테트라플루오로보레이트 및 4급 포스포늄 테트라플루오로보레이트; 테트라부틸암모늄 테트라플루오로보레이트 (TBA-BF4); 및 이들의 조합으로부터 선택될 수 있다. 특정 실시양태에서, 플루오라이드 화합물은 암모늄 플루오라이드, 암모늄 비플루오라이드, 4급 암모늄 테트라플루오로보레이트 (예: 테트라메틸암모늄 테트라플루오로보레이트, 테트라에틸암모늄 테트라플루오로보레이트, 테트라프로필암모늄 테트라플루오로보레이트, 테트라부틸암모늄 테트라플루오로보레이트), 4급 포스포늄 테트라플루오로보레이트, 또는 이들의 조합으로부터 선택된다. 특정 실시양태에서, 플루오라이드 화합물은 암모늄 비플루오라이드, 암모늄 플루오라이드, 또는 이들의 조합을 포함한다.
명세서 및 첨부된 청구범위에서 사용된 바와 같이, 단수 표현은, 문맥상 달리 명확하게 지시하지 않는 한, 그의 복수형 지시대상을 포함한다. 용어 "함유하는" 또는 "포함한"은 용어 "포함하는"과 동일한 의미이도록 의도되며, 이는 적어도 명명된 화합물, 요소, 입자, 또는 방법 단계 등이 조성물 또는 물품 또는 방법에서 존재함을 의미하지만, 청구범위에서 명백하게 배제되지 않는 한, 다른 화합물, 재료, 입자, 방법 단계 등의 존재를 배제하지 않음 (다른 그러한 화합물, 재료, 입자, 방법 단계 등이 명명된 것과 동일한 기능을 갖더라도)을 의미한다.
한 측면에서, 본 발명은,
(a) 인산;
(b) (i) 알킬아미노 알콕시실란 및 (ii) 알킬아미노 히드록실 실란으로부터 선택된 적어도 하나의 실란이며, 여기서 상기 실란은 알콕시, 히드록실 및 플루오로로부터 선택된 적어도 하나의 모이어티를 갖는 것인 적어도 하나의 실란;
(c) 물을 포함하는 용매; 및 임의로
(d) 플루오라이드 화합물이되, 헥사플루오로규산 이외의 플루오라이드 화합물
을 포함하는 조성물을 제공한다.
특정 실시양태에서, 인산은 약 50 내지 약 95 중량%로 조성물 중에 존재할 것이다. 다른 실시양태에서, 인산은 약 70 내지 약 90 중량%, 다른 실시양태에서 약 85 중량%로 존재할 것이다.
본 발명의 특정 실시양태에서, 조성물은 플루오라이드 화합물을 추가로 포함할 수 있다. 한 실시양태에서, 플루오라이드 화합물은 HF 및 모노플루오로 인산으로부터 선택된다. 다른 실시양태에서, 플루오라이드 화합물은 세슘 플루오라이드 및 포타슘 플루오라이드로부터 선택된다. 다른 실시양태에서, 플루오라이드 화합물은 암모늄 헥사플루오로포스페이트; 테트라메틸암모늄 헥사플루오로포스페이트; 암모늄 플루오라이드; 암모늄 비플루오라이드; 플루오로붕산; 각각 화학식 NR'4BF4 및 PR'4BF4 (여기서, R'은 서로 동일하거나 상이할 수 있고, 수소, 직쇄형, 분지형 또는 시클릭 C1-C6 알킬, 및 직쇄형 또는 분지형 C6-C10 아릴로부터 선택됨)를 갖는 4급 암모늄 테트라플루오로보레이트 및 4급 포스포늄 테트라플루오로보레이트; 테트라메틸암모늄 테트라플루오로보레이트 (TMA-BF4); 및 이들의 조합으로부터 선택된다.
특정 실시양태에서, 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물은 화학식:
로 나타내며, 여기서 각각의 X는 플루오린, C1-C8 알킬 기, 또는 화학식 -OR (여기서, R은 수소 또는 C1-C8 알킬 기임)의 기로부터 독립적으로 선택되고, n은 1 내지 6의 정수이고, 각각의 R1은 수소, C1-C8 알킬 기, 또는 화학식 C1-C8 알콕시(CH2)n--의 기로부터 독립적으로 선택된다. 특정 실시양태에서, 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물은 (3-아미노프로필)트리에톡시 실란 (CAS No. 919-30-2); (3-아미노프로필)실란 트리올 (CAS No. 58160-99-9); 3-아미노프로필디메틸에톡시실란 (CAS No. 18306-79-1); 3-아미노프로필메틸디에톡시실란 (CAS No. 3179-76-8); 및 N-(2-아미노에틸)-3-아미노프로필메틸디메톡시실란 (CAS No. 3069-29-2); (N,N-디메틸-3-아미노프로필)트리메톡시실란 (CAS No. 2530-86-1); 및 3-아미노프로필디메틸플루오로실란 (CAS No. 153487-58-2)으로부터 선택된다.
특정 실시양태에서, 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물은 화학식:
로 나타내며, 여기서 각각의 X는 플루오린, C1-C8 알킬 기, 또는 화학식 -OR (여기서, R은 수소 또는 C1-C8 알킬 기임)의 기로부터 독립적으로 선택되고, n은 1 내지 6의 정수이고, y는 1 내지 6의 정수이고, z는 1 내지 6의 정수이다.
특정 실시양태에서, 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물은 N-(3-트리메톡시실릴프로필)디에틸렌트리아민 (CAS 번호 35141-30-1); N-(2-아미노에틸)-3-아미노프로필트리에톡시 실란 (CAS No. 5089-72-5); N-(2-아미노에틸)-3-아미노프로필 실란 트리올 (CAS No. 1760-24-3); (3-트리메톡시실릴프로필)디에틸렌트리아민 (CAS No. 35141-30-1); 및 N-(6-아미노헥실)아미노프로필트리메톡시실란 (CAS No. 51895-58-0)으로부터 선택된다.
특정 실시양태에서, 2개의 X 기 (상기 정의된 바와 같음) 또는 1개의 X 및 1개의 알킬 기를 갖는 규소 원자에 2개의 알킬아미노 기가 연결된다. 예를 들어, 3,3'-(디메톡시실릴렌)비스-(1-프로판아민) (CAS No. 51749-36-1):
특정 실시양태에서, 3개의 X 기 (상기 정의된 바와 같음) 또는 2개의 X 및 1개의 알킬 기를 갖는 규소 원자에 연결된 알킬 또는 아미노알킬 쇄로부터 1개 이상의 아미노알킬 기가 분지화된다. 예를 들어, 2-[(디메톡시메틸실릴)메틸]-1,4-부탄디아민 (CAS No. 1019109-96-6):
특정 실시양태에서, 산소 브릿지(bridge)에 의해 연결된 2개 이상의 규소 원자가 총 상기 기재된 바와 같은 적어도 1개의 아미노알킬 기 및 적어도 1개의 "X" 기를 가지며, 나머지 규소 치환기는 알킬아민, "X" 또는 알킬이다. 예를 들어, 1,3-비스(3-아미노프로필)-1,1,3,3-테트라에톡시디실록산 (CAS No. 17907-78-7):
및 그의 메톡시 유사체, 1,3-비스(3-아미노프로필)-1,1,3,3-테트라메톡시디실록산 (CAS No. 76712-65-7):
본 발명의 조성물 중의 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물의 양은, 에칭 조성물의 다른 재료들과 조합하여 원하는 에칭 성능, 예컨대 원하는 질화규소 에칭 속도 및 선택성을 제공할 양일 수 있다. 예를 들어, 에칭 조성물은, 조성물의 총 중량을 기준으로 약 20 내지 10,000 ppm (즉, 0.0020 내지 1.0 중량%), 또는 조성물의 총 중량을 기준으로 약 20 내지 2,000, 4,000 또는 5,000 ppm (즉, 0.002 내지 0.2, 0.4 또는 0.5 중량%)의 범위의, 단일 종 또는 2종 이상의 종의 조합일 수 있는 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물의 양을 함유할 수 있다.
성분 (c)는 물을 포함하는 용매이다. 임의로, 용매는 하나 이상의 수혼화성 용매, 예컨대 피롤리디논, 글리콜, 아민, 및 글리콜 에테르, 예컨대 이에 제한되지는 않으나 메탄올, 에탄올, 이소프로판올, 부탄올, 및 고급 알콜 (예컨대, C2-C4 디올 및 C3-C4 트리올), 테트라히드로푸르푸릴 알콜 (THFA), 할로겐화 알콜 (예컨대, 3-클로로-1,2-프로판디올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1,2-프로판디올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-아이오도-1-프로판올, 4-클로로-1-부탄올, 2-클로로에탄올), 아세트산, 프로피온산, 트리플루오로아세트산, N-메틸피롤리디논 (NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸디에탄올아민, 디메틸 포름아미드 (DMF), 디메틸술폭시드 (DMSO), 테트라메틸렌 술폰 (술폴란), 페녹시-2-프로판올 (PPh), 프로프리오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 에틸렌 글리콜, 프로필렌 글리콜 (PG), 1,3-프로판디올, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르 (즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르 (DPGME), 트리프로필렌 글리콜 메틸 에테르 (TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르 (DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 디프로필렌 글리콜 메틸 에테르 아세테이트, 테트라에틸렌 글리콜 디메틸 에테르 (TEGDE), 이염기성 에스테르, 글리세린 카르보네이트, N-포르밀 모르폴린, 트리에틸 포스페이트, 및 이들의 조합을 추가로 포함할 수 있다. 알콕시실란 첨가제를 사용하는 경우, 그의 가수분해로 소량의 알콜, 예를 들어 메탄올 또는 에탄올이 생성되며, 이는 알콜 자체로 또는 그의 인산 모노에스테르로서 제형 내로 혼입되며, 대체로 전형적인 공정 온도에서 비등 제거된다. 또한, 유기 용매는 다른 양친매성 종 (즉, 계면활성제와 유사한 친수성 및 소수성 모이어티 둘 모두를 함유하는 종)을 포함할 수 있다.
특정 실시양태에서, 본 발명의 조성물은 저분자량 아민 및 아민 포스페이트 염을 추가로 포함한다. 다른 실시양태에서, 저분자량 아민 및 아민 포스페이트 염은 1급, 2급 또는 3급 C1-C6 알킬아민 또는 그의 포스페이트 염이다. 예는 디메틸아민, 트리메틸아민, 트리에틸아민, 트리프로필아민, 트리부틸아민 등을 포함한다. 이러한 아민 또는 그의 수용액이 농축 H3PO4 조성물에 첨가될 때, 아민 포스페이트 염이 형성될 것임을 인지할 것이다.
한 실시양태에서, 조성물은, (a) 인산; (b) N-(2-아미노에틸)-3-아미노프로필 실란트리올; 및 (c) 물을 포함하는 용매를 포함한다. 추가의 실시양태에서, 조성물은 HF 또는 모노플루오로인산을 추가로 포함한다. 추가의 실시양태에서, 조성물은 트리에틸아민 또는 그의 디히드로겐 포스페이트 염을 추가로 포함한다.
조성물은 임의로 계면활성제(들) (본 설명의 다른 임의적인 또는 필요한 구성성분들과 상이함)를 포함하여 조성물의 성능을 개선시킬 수 있다. 본원에 사용된 바와 같이, 용어 "계면활성제"란, 2종의 액체 사이의 또는 액체와 고체 사이의 표면 장력 (또는 계면 장력)을 낮추는 유기 화합물, 전형적으로 소수성 기 (예: 탄화수소 (예: 알킬) "테일") 및 친수성 기를 함유하는 유기 양친매성 화합물을 지칭한다. 바람직한 계면활성제는 열적으로 안정하고, 본 발명의 에칭 공정의 조건과 같은 강산성 조건 하에 이온인 채로 있다. 예는 퍼플루오로알킬술폰산 및 장쇄 4급 암모늄 화합물 (예: 도데실트리메틸암모늄 히드로겐 술페이트)를 포함한다. 플루오린화 비이온성 계면활성제, 예컨대 케무어스(Chemours)의 카프스톤(Capstone)® FS-31/FS-35가 또한 사용될 수 있다. 비이온성 비플루오린화 계면활성제, 예컨대 폴리(에틸렌 글리콜)-폴리(프로필렌 글리콜) 공중합체 ("PEG-PPG")가 또한 사용될 수 있으며, 이는 ≤130℃에서의 작동 및 저산도(lower-acidity) 조성물 (예: ≤75% H3PO4)에 보다 적합하다.
조성물 중의 계면활성제의 양은, 에칭 조성물의 다른 재료들과 조합하여 원하는 전체 성능을 제공할 양일 수 있다. 예를 들어, 조성물은 조성물의 총 중량을 기준으로 약 0.001 내지 약 10 중량%, 예를 들어 약 0.01 내지 약 0.5, 1, 2, 7 중량%, 또는 7 중량%의 계면활성제 범위일 수 있는 계면활성제의 양을 함유할 수 있다.
임의로, 조성물은, 적어도 1개의 카르복실산 기를 함유하는 유기 화합물을 의미하는 카르복실산 화합물을 소정량 함유할 수 있다. 본 발명에 따라, 기재된 바와 같이 조성물 중에 카르복실산 화합물이 존재하면, 산화규소의 재침착 또는 그의 입자들의 형성의 억제에 의해 성능이 개선될 수 있다. 특정 실시양태에서, 조성물에서 사용되는 카르복실산 화합물은 아세트산, 말론산, 숙신산, 2-메틸숙신산, 글루타르산, 아디프산, 살리실산, 1,2,3-프로판트리카르복실산 (트리카르발릴산이라고도 알려짐), 2-포스포노아세트산, 3-포스포노프로판산, 및 2-포스포노부탄-1,2,4-트리카르복실산 (PBTCA)을 포함하며, 이들 중 임의의 것이 단독으로, 또는 서로 함께 조합하여, 또는 상이한 카르복실산 화합물과 조합하여 사용될 수 있다.
조성물 중에 함유된 카르복실산 화합물 (그의 유도체 포함)의 양은, 조성물의 다른 재료들과 조합하여 원하는 에칭 성능을 제공하면서 달리 에칭 조성물의 성능 또는 화학적 안정성에 영향을 미치지 않을 양일 수 있다. 예를 들어, 조성물은 조성물의 총 중량을 기준으로 약 0.01 내지 약 10 중량% 범위, 또는 조성물의 총 중량을 기준으로 약 0.1 내지 약 5 또는 8 중량%의, 단일 종 또는 2종 이상의 종의 조합일 수 있는 카르복실산 화합물의 양을 함유할 수 있다.
조성물은 하나 또는 여러 소스로부터의 물을 함유할 수 있다. 예를 들어, 수성 인산 구성성분 중에 물이 존재할 것이다. 부가적으로, 물은 에칭 조성물의 다른 구성성분들 중 하나 이상을 위한 캐리어로서 사용될 수 있으며, 물은 단독으로 그 자체 구성성분으로서 첨가될 수 있다. 물의 양은 조성물이 원하는 또는 바람직한 또는 유리한 에칭 성능 특성, 예컨대 유용한 (충분히 높은) 질화규소 에칭 속도를 나타낼 만큼 충분히 적어야 한다. 물의 존재가 증가하면 질화규소의 에칭 속도가 증가하는 경향이 있지만, 또한 에칭 조성물의 비점이 강하될 수 있으며, 이는 에칭 조성물의 작동 온도의 감소 및 역효과를 가져온다. 에칭 조성물 중의 모든 소스로부터의 물의 양의 예는 조성물의 총 중량을 기준으로 약 50, 40 또는 30 중량% 미만, 예를 들어 약 5 중량% 내지 약 25 중량% 범위, 또는 조성물의 총 중량을 기준으로 물이 약 10 내지 20 중량% 범위일 수 있다.
임의로, 기재된 바와 같은 상기 및 다른 예시 조성물은 인산, 아미노 히드록실 실란 또는 아미노 알콕시 실란, 및 나타낸 임의적 구성성분들 중 어느 하나 또는 이들의 임의의 조합을 함유하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있다. 본 발명의 조성물의 특정 실시양태는, 에칭 조성물 중에 전형적으로 포함되지 않는 다른 유형의 구성성분, 예컨대 pH 조절제 (본원에 잠재적 구성성분으로서 언급된 산들 제외) 및 고체 재료, 예컨대 연마제 입자를 필요로 하지 않으며 배제할 수 있다.
하기 표는 본 발명의 실시에서 유용한 것으로 여겨지는 예시적 조성물 (중량%)을 함유한다:
본원에 사용된 바와 같이, 하기 약칭 기재가 이루어진다:
(3-아미노프로필)트리에톡시-실란 (CAS No. 919-30-2) "APTES";
(3-아미노프로필)실란 트리올 (CAS No. 58160-99-9) "APST";
N-(2-아미노에틸)-3-아미노프로필 실란 트리올 (CAS No. 1760-24-3) "N2APST";
N1-(3-트리메톡시실리프로필)디에틸렌트리아민) "N3APTMS";
3,3'-(디메톡시실릴렌)비스-(1-프로판아민) (CAS No. 51749-36-1) "DMSBP";
1,3-비스(3-아미노프로필)-1,1,3,3-테트라에톡시디실록산 (CAS No. 17907-78-7) "BAPTEDS";
N-(6-아미노헥실)아미노프로필트리메톡시실란 (CAS No. 51895-58-0) "AHAPTMS"; 및
디에틸렌 글리콜 모노부틸 에테르 (부틸 카르비톨) "BC".
또 다른 측면에서, 본 발명은, 마이크로전자 디바이스로부터 질화규소를 제거하는 방법이며, 마이크로전자 디바이스를 마이크로전자 디바이스로부터 상기 질화규소 재료를 적어도 부분적으로 제거하기에 충분한 조건 하에 충분한 시간 동안 본 발명의 조성물과 접촉시키는 것을 포함하는 방법을 제공한다.
예를 들어, 금속 및 금속 실리사이드 인터커넥트 재료의 실질적인 손상 없이 질화규소 재료가 제거될 수 있다. 따라서 본 발명은, 상부에 질화규소 재료 및 폴리실리콘 및/또는 산화규소 재료를 갖는 마이크로전자 디바이스의 표면으로부터 본원에 기재된 조성물을 사용하여 폴리실리콘 및/또는 산화규소 재료에 비해 질화규소 재료를 선택적으로 및 실질적으로 제거하는 방법을 제공한다. 존재하는 금속 실리사이드 재료는 상기 방법을 사용하여 상기 제거 조성물에 의해 실질적으로 부식되지 않는다.
에칭 적용 시, 조성물은 상부에 질화규소 재료를 갖는 마이크로전자 디바이스의 표면에 임의의 적합한 방식으로, 예를 들어 디바이스의 표면 상에 제거 조성물을 분무함으로써, 또는 질화규소 재료를 포함한 디바이스의 침지 (정적 또는 동적 부피의 제거 조성물 중에)에 의해, 또는 디바이스를 또 다른 재료, 예를 들어 패드 또는 섬유질 수착제 어플리케이터 부재 (상부에서 제거 조성물을 흡수함)와 접촉시킴으로써, 또는 질화규소 재료를 포함한 디바이스를 순환 제거 조성물과 접촉시킴으로써, 또는 제거 조성물을 질화규소 재료와 접촉 제거하는 임의의 다른 적합한 수단, 방식 또는 기술에 의해 적용된다. 적용은 동적 또는 정적 세정을 위한 조(batch) 또는 단일 웨이퍼 장치 내에서 있을 수 있다. 한 실시양태에서, 마이크로전자 디바이스의 표면에의 제거 조성물의 적용은, 상기 조성물을 수용하는 용기를 통해 조성물을 순환시키는 제어 교반이다.
본 발명의 조성물은, 마이크로전자 디바이스 구조물 상에 존재할 수 있고 조성물에 노출될 수 있는 다른 재료들, 예컨대 금속화, 폴리실리콘, 산화규소(들) 등에 비해 질화규소 재료에 대한 그의 선택성으로 인해, 매우 효율적이고 매우 선택적인 방식으로 질화규소 재료의 적어도 부분적인 제거를 달성한다.
상부에 질화규소 재료를 갖는 마이크로전자 디바이스 구조물로부터 질화규소 재료를 제거하기 위한 본 발명의 조성물의 사용 시, 조성물은 전형적으로 마이크로전자 디바이스 구조물과 약 1분 내지 약 200분, 한 실시양태에서 약 15분 내지 약 100분, 또는 약 1분 내지 약 3분 (단일 웨이퍼 도구의 경우)의 충분한 시간 동안 충분한 조건에서, 예컨대 이에 제한되지는 않으나 한 실시양태에서 약 120℃ 내지 약 180℃의 범위의 온도에서 접촉시킨다. 이러한 접촉 시간 및 온도는 예시를 위한 것이며, 본 발명의 실시 내에서 디바이스 구조물로부터 질화규소 재료를 적어도 부분적으로 제거하기에 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다.
원하는 제거 작용의 달성 후에, 제거 조성물은, 본 발명의 조성물에 대한 주어진 최종 용도 적용 시 요망되고 효과적일 수 있는 바와 같이, 이전에 예를 들어 헹굼, 세척 또는 기타 제거 단계(들)에 의해 적용된 마이크로전자 디바이스로부터 용이하게 제거된다. 예를 들어, 디바이스는 탈이온수를 포함한 헹굼 용액으로 헹구고/거나 건조 (예를 들어, 스핀-건조, N2, 증기-건조 등)될 수 있다.
본 발명의 제거 조성물은, 금속 및/또는 금속 실리사이드 인터커넥트 재료(들)의 실질적인 부식을 일으키지 않고, 마이크로전자 디바이스의 표면으로부터 폴리-Si 및 산화규소에 비해 질화규소 재료를 선택적으로 에칭한다. 예를 들어, 본 발명의 제거 조성물의 존재 하의 질화규소 대 산화규소(들)의 선택성은 한 실시양태에서 약 10:1 내지 약 7,000:1의 범위, 또 다른 실시양태에서 약 30:1 내지 약 3,000:1, 또 다른 실시양태에서 약 100:1 내지 약 2000:1이다 (한 실시양태에서 40-100℃의 온도에서, 또 다른 실시양태에서 60-95℃의 온도에서, 또 다른 실시양태에서 75-90℃의 온도에서). 규산 소스가 알콕시실란, 예를 들어 TEOS를 포함하는 경우, 산화규소(들)에 대한 질화규소의 선택성은 한 실시양태에서 약 20:1 내지 무한대로, 또 다른 실시양태에서 약 20:1 내지 약 7,000:1의 범위로 조정될 수 있다. 사실상, 선택성은 일부 사용가능한 제형에 대해 형식적으로 네가티브이며, 이는 옥시드 필름의 두께가 실리카의 침전에 의해 매우 약간이지만 측정가능하게 증가한다는 사실을 반영한다.
본 설명의 에칭 단계는 임의의 유형의 기판의 표면으로부터 질화규소 재료를 에칭하기에 유용할 수 있다. 특정 실시양태에 따라, 기판은 산화규소와 질화규소 층들의 교번 박막 층들을 포함하는 기판의 구조적 특징부로서 질화규소의 교번 박막 층들을 포함할 수 있다. 산화규소 층들은 산화규소의 층들 사이에 배치된 질화규소 층들을 함유하는 고종횡비 구조이다.
본 발명의 또 다른 추가 측면은, 마이크로전자 디바이스를 포함하는 물품의 제조 방법이며, 마이크로전자 디바이스를 상부에 질화규소 재료를 갖는 마이크로전자 디바이스의 표면으로부터 질화규소 재료를 에칭 제거하기에 충분한 시간 동안 본 발명의 조성물과 접촉시키는 단계, 및 상기 마이크로전자 디바이스를 상기 물품 내로 혼입하는 단계를 포함하는 방법에 관한 것이다.
본원에 기재된 조성물은, 각 구성성분의 간단한 첨가 및 균질 조건으로 혼합에 의해 쉽게 제형화된다. 아울러, 조성물은 사용 시점에 혼합되는 다중-부분형(multi-part) 제형 또는 단일-패키지(single-package) 제형으로서, 바람직하게는 다중-부분형 제형으로서 용이하게 제형화될 수 있다. 다중-부분형 제형의 개별 부분들은 도구에서 또는 도구 상류의 저장 탱크 내에서 혼합될 수 있다. 각 구성성분의 농도는 조성물에 대한 특정 배수로 (즉, 보다 희석 또는 보다 농축됨) 광범위하게 변화를 줄 수 있으며, 본원에 기재된 조성물은 다양하게 및 대안적으로 본원 개시내용과 일관된 구성성분들의 임의의 조합을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있음을 인지할 것이다.
본 발명의 또 다른 측면은, 본원에 기재된 조성물을 형성하도록 적합화된 하나 이상의 성분을 하나 이상의 용기 내에 포함하는 키트에 관한 것이다. 한 실시양태에서, 키트는 사용 시점 또는 팹(fab)에서 물과 조합을 위해 상기 성분 (a) - (c) 중 적어도 하나의 조합을 하나 이상의 용기 내에 포함한다. 키트의 용기는 상기 세정 조성물 성분들의 저장 및 적재에 적합해야 한다 (예를 들어, NOWPak® 용기 (어드밴스드 테크놀로지 머티리얼스 인크.(미국 코네티컷주 댄버리)). 제1 세정 조성물의 성분들을 함유하는 하나 이상의 용기는 바람직하게는, 블렌딩 및 분배를 위해 상기 하나 이상의 용기 내의 성분들을 유체 소통시키는 수단을 포함한다. 예를 들어, NOWPak® 용기를 참조하면, 가스 압력이 상기 하나 이상의 용기 내의 라이너(liner) 바깥쪽에 가해져 라이너의 내용물의 적어도 일부를 방출시킴으로써 블렌딩 및 분배를 위한 유체 소통을 가능케 할 수 있다. 대안적으로, 가스 압력이 통상의 가압가능 용기의 헤드스페이스(head space)에 가해질 수 있거나 또는 펌프를 사용하여 유체 소통을 가능케 할 수 있다. 또한, 시스템은 바람직하게는, 블렌딩된 세정 조성물을 공정 도구에 분배하기 위한 분배 포트(port)를 포함한다.
실질적으로 화학적 불활성의 불순물-무함유 가요성 및 탄성 중합체 필름 재료, 예컨대 고밀도 폴리에틸렌을 사용하여 상기 하나 이상의 용기를 위한 라이너를 제작할 수 있다. 바람직한 라이너 재료는, 공압출 또는 배리어 층을 필요로 하지 않으면서, 라이너 내에 배치하고자 하는 성분들에 대한 순도 요건에 악영향을 미칠 수 있는 어떠한 안료, UV 억제제 또는 가공제도 없이 가공된다. 바람직한 라이너 재료들의 목록에는, 버진(virgin) (첨가제-무함유) 폴리에틸렌, 버진 폴리테트라플루오로에틸렌 (PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름들이 포함된다. 이러한 라이너 재료들의 예시적인 두께는 약 5 밀 (0.005 인치) 내지 약 30 밀 (0.030 인치)의 범위, 예를 들어 20 밀 (0.020 인치)의 두께이다.
여기서 키트용 용기와 관련하여, 다음의 특허 및 특허 출원의 개시내용은 그의 각 전문이 본원에 참조로 포함된다: 미국 특허 번호 7,188,644 (발명의 명칭: "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS"; 미국 특허 번호 6,698,619 (발명의 명칭: "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM"; 및 미국 특허 출원 번호 60/916,966 (발명의 명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"; John E. Q. Hughes 명의로 2007년 5월 9일자 출원됨), 및 PCT/US08/63276 (발명의 명칭: "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION"; 어드밴스드 테크놀로지 머티리얼스 인크. 명의로 2008년 5월 9일자 출원됨).
따라서, 추가 측면에서, 본 발명은, 마이크로전자 디바이스로부터 질화규소를 제거하기에 적합하며 내부에 성분들을 갖는 하나 이상의 용기를 포함하는 키트이며, 여기서 상기 키트의 하나 이상의 용기는 (a) 인산; (b) 본원에 기재된 바와 같은 (i) 알킬아미노 알콕시실란 및 (ii) 알킬아미노 히드록실 실란으로부터 선택된 적어도 하나의 실란 화합물; 및 (c) 물을 포함하는 용매; 및 임의로 (d) 플루오라이드 화합물이되, 헥사플루오로규산 이외의 플루오라이드 화합물을 함유하는 것인 키트를 제공한다.
본 발명은 그의 특정 실시양태의 하기 실시예에 의해 추가로 예시될 수 있지만, 이들 실시예는 단지 예시의 목적을 위해 포함되며, 달리 구체적으로 지시되지 않는 한, 본 발명의 범주를 제한하도록 의도되지 않음을 이해할 것이다.
실시예
먼저, 노출된 질화규소로부터 임의의 산화된 필름을 제거하도록 고종횡비 구조물을 가공하였다. 이어서, 구조물을 교반과 함게 비등 플라스크 내의 원하는 온도의 제형 중에서 가공하였다. 실리케이트 로딩이 명시된 조건에 대해, 테트라메틸암모늄 실리케이트 (TMAS) 또는 실리카 나노입자를 첨가하였다. 실시예 16 및 17의 경우, TMAS를 사용하였으며, 로딩이 ppm Si로서 제공되어 있다. 다른 모든 실시예의 경우, SiO2 나노입자를 사용하였으며, 실리케이트 로딩이 ppm SiO2로서 제공되어 있다. 구조물은 SiN을 완전히 제거할 만큼 긴 시간 동안, 전형적으로 45분 내지 2시간 동안 가공하였다. 제형 중에서 가공 후, 구조물을 고온 탈이온수에서 헹구고, 흐르는 질소로 건조시켰다. 나타낸 에칭 속도는, 분광 타원계에 의해 측정된 두께와 함께, 블랭킷 필름을 가공함으로써 유사하게 수득되었다.
표 1
제형 실시예 (중량%, 균형 약 85% H 3 PO 4 )
두문자어는 상기 실시예 1-11에 대한 것과 동일한 의미를 갖는다.
표 2
제형 중에 첨가된 SiO 2 에 따른, 구조물 내의 옥시드의 에칭후 과잉 두께 (침착물 빌드업(build-up)) (152℃에서)
* "OK"는 초기 옥시드 두께에 대한 <2 nm의 변화를 의미함.
표 3
첨가된 SiO 2 에 따른 에칭 속도 (ER) (152℃에서)
실시예 12-14와 비교할 때, 85% H3PO4는 옥시드에 대해 불량한 선택성 (0 ppm 실리카에서 약 40:1, 표 3)을 갖거나 또는 과도한 옥시드 재침착 (100 및 200 ppm 실리카에서, 표 2)을 유발함을 참고하기 바란다.
표 4
제형 16 및 17의 성능 요약:
가공 동안 용해된 실리케이트를 첨가하면 니트라이드 에칭 속도의 실질적인 변화 없이 산화규소 에칭 속도가 감소한다.
충분히 높은 용해된 실리케이트 농도에서, 실리카-풍부 침전물이 3D NAND 구조물 내의 SiO2 표면 상에 재침착된다.
이 경우에 로딩 창은 선택성이 > 1000인 저사양(low end) 및 재침착의 개시에 의한 고사양(high end)에 대해 규정된다. 상이한 선택성 목표는 상이한 폭의 로딩 창을 초래할 것이다.

Claims (5)

  1. (a) 인산;
    (b) (i) 알킬아미노 알콕시실란 및 (ii) 알킬아미노 히드록실 실란으로부터 선택된 적어도 하나의 실란이며, 상기 실란은 알콕시, 히드록실 및 플루오로로부터 선택된 적어도 하나의 모이어티를 갖는 것인 적어도 하나의 실란;
    (c) 물을 포함하는 용매;
    (d) 플루오라이드 화합물이되, 헥사플루오로규산 이외의 플루오라이드 화합물; 및
    (e) 알킬아민 또는 그의 포스페이트 염
    을 포함하고,
    상기 알킬아미노 알콕시실란 및 알킬아미노 히드록실 실란 화합물은 다음의 화학식으로 나타내어지는 조성물.


    또는
  2. 제1항에 있어서, 인산이 조성물의 총 중량을 기준으로 50 내지 95 중량%의 범위로 존재하는 것인 조성물.
  3. 제1항에 있어서, 플루오라이드 화합물이 플루오로붕산; 테트라메틸암모늄 헥사플루오로포스페이트; 암모늄 플루오라이드; 암모늄 비플루오라이드; 각각 화학식 NR'4BF4 및 PR'4BF4 (여기서, R'은 서로 동일하거나 상이할 수 있고, 수소, 직쇄형, 분지형 또는 시클릭 C1-C6 알킬, 및 직쇄형 또는 분지형 C6-C10 아릴로부터 선택됨)를 갖는 4급 암모늄 테트라플루오로보레이트 및 4급 포스포늄 테트라플루오로보레이트; 테트라부틸암모늄 테트라플루오로보레이트 (TBA-BF4); 및 이들의 조합으로부터 선택된 것인 조성물.
  4. (a) 인산;
    (b) N-(2-아미노에틸)-3-아미노프로필-실란-트리올 또는 (3-아미노프로필)실란 트리올;
    (c) 물을 포함하는 용매;
    (d) 플루오라이드 화합물이되, 헥사플루오로규산 이외의 플루오라이드 화합물; 및
    (e) 알킬아민 또는 그의 포스페이트 염
    을 포함하고,
    상기 알킬아민 또는 그의 포스페이트 염이 존재하고, 트리에틸아민 또는 그의 디히드로겐 포스페이트 염으로부터 선택된 것인 조성물.
  5. 제4항에 있어서, 계면활성제, 카르복실산 화합물, 또는 용해된 실리케이트를 추가로 포함하는 조성물.
KR1020247002231A 2018-11-15 2019-11-12 질화규소 에칭 조성물 및 방법 KR20240013860A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862767904P 2018-11-15 2018-11-15
US62/767,904 2018-11-15
KR1020217014303A KR102628802B1 (ko) 2018-11-15 2019-11-12 질화규소 에칭 조성물 및 방법
PCT/US2019/060974 WO2020102228A1 (en) 2018-11-15 2019-11-12 Silicon nitride etching composition and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217014303A Division KR102628802B1 (ko) 2018-11-15 2019-11-12 질화규소 에칭 조성물 및 방법

Publications (1)

Publication Number Publication Date
KR20240013860A true KR20240013860A (ko) 2024-01-30

Family

ID=70727494

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217014303A KR102628802B1 (ko) 2018-11-15 2019-11-12 질화규소 에칭 조성물 및 방법
KR1020247002231A KR20240013860A (ko) 2018-11-15 2019-11-12 질화규소 에칭 조성물 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217014303A KR102628802B1 (ko) 2018-11-15 2019-11-12 질화규소 에칭 조성물 및 방법

Country Status (7)

Country Link
US (3) US11053440B2 (ko)
JP (2) JP7438211B2 (ko)
KR (2) KR102628802B1 (ko)
CN (1) CN112996881A (ko)
SG (1) SG11202103910PA (ko)
TW (2) TW202325824A (ko)
WO (1) WO2020102228A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112996881A (zh) * 2018-11-15 2021-06-18 恩特格里斯公司 氮化硅蚀刻组合物及方法
JP7398550B2 (ja) * 2019-08-21 2023-12-14 インテグリス・インコーポレーテッド 高度に選択的な窒化ケイ素エッチングのための改良された配合物
JP2023536836A (ja) * 2020-07-30 2023-08-30 インテグリス・インコーポレーテッド 窒化シリコン膜を選択的にエッチングするための組成物及び方法
KR102345842B1 (ko) * 2020-09-21 2021-12-31 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물 및 이를 이용한 방법
TWI818541B (zh) * 2021-05-12 2023-10-11 美商恩特葛瑞斯股份有限公司 選擇性蝕刻劑組合物及方法
CN117460805A (zh) * 2021-05-26 2024-01-26 恩特格里斯公司 用于选择性蚀刻氮化硅膜的组合物和方法
KR20230079903A (ko) * 2021-11-29 2023-06-07 (주)후성 실리콘 질화막 에칭 조성물 및 이의 제조방법
WO2023145476A1 (ja) * 2022-01-27 2023-08-03 ステラケミファ株式会社 微細加工処理剤、及び微細加工処理方法
CN116631852A (zh) * 2022-02-14 2023-08-22 联芯集成电路制造(厦门)有限公司 硬掩模层的移除方法
CN115873599B (zh) * 2022-10-10 2024-05-17 湖北兴福电子材料股份有限公司 氮化硅/氧化硅的3d nand结构片的选择性蚀刻液

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
KR100327342B1 (ko) 1999-10-27 2002-03-06 윤종용 반도체소자 제조용 식각조성물 및 이 식각조성물을 이용한 식각방법
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
US20060021974A1 (en) 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
KR20060108436A (ko) 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US8025811B2 (en) 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
DE102007012578A1 (de) * 2006-09-01 2008-03-06 Bühler PARTEC GmbH Kationisch stabilisierte wässrige Silicadispersion, Verfahren zu deren Herstellung und deren Verwendung
SG177201A1 (en) * 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
JP5332197B2 (ja) * 2007-01-12 2013-11-06 東ソー株式会社 エッチング用組成物及びエッチング方法
KR101097277B1 (ko) 2009-10-07 2011-12-22 솔브레인 주식회사 습식 식각용 조성물
JP2012033561A (ja) * 2010-07-28 2012-02-16 Sanyo Chem Ind Ltd 窒化ケイ素用エッチング液
JP2012099550A (ja) * 2010-10-29 2012-05-24 Sanyo Chem Ind Ltd 窒化ケイ素用エッチング液
KR101391605B1 (ko) 2010-12-31 2014-05-08 솔브레인 주식회사 실리콘 질화막 식각액 조성물
JP2014529641A (ja) 2011-08-09 2014-11-13 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se シリコン基板の表面を処理するための水性アルカリ性組成物および方法
JP5913869B2 (ja) 2011-08-31 2016-04-27 林純薬工業株式会社 エッチング液組成物およびエッチング方法
KR101335855B1 (ko) 2011-12-20 2013-12-02 오씨아이 주식회사 실리콘 질화막의 에칭 용액
KR101380487B1 (ko) 2012-05-09 2014-04-01 오씨아이 주식회사 실리콘 질화막의 에칭 용액
JP2014099480A (ja) * 2012-11-13 2014-05-29 Fujifilm Corp 半導体基板のエッチング方法及び半導体素子の製造方法
JP6180298B2 (ja) 2013-11-27 2017-08-16 株式会社Adeka エッチング液組成物及びエッチング方法
US9868902B2 (en) 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
KR20160050536A (ko) * 2014-10-30 2016-05-11 램테크놀러지 주식회사 질화막 식각 조성물 및 이를 이용한 반도체 장치의 제조 방법
WO2016096083A1 (en) 2014-12-19 2016-06-23 Merck Patent Gmbh Agent for increasing etching rates
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
KR101757812B1 (ko) 2015-05-29 2017-07-14 세메스 주식회사 인산 재생 유닛 및 방법, 그리고 기판 처리 장치 및 방법
KR101728951B1 (ko) 2015-06-25 2017-04-21 오씨아이 주식회사 실리콘 질화막 식각 용액
KR20170009240A (ko) * 2015-07-16 2017-01-25 동우 화인켐 주식회사 비불소계 실리콘 질화막 식각 조성물
WO2017033915A1 (ja) * 2015-08-26 2017-03-02 株式会社Adeka エッチング液組成物及びエッチング方法
US20170066944A1 (en) * 2015-09-03 2017-03-09 Cabot Microelectronics Corporation Methods and compositions for processing dielectric substrate
KR102443370B1 (ko) * 2015-11-20 2022-09-15 동우 화인켐 주식회사 실리콘 질화막 식각액 조성물
KR102545799B1 (ko) * 2015-12-04 2023-06-20 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
WO2017095022A1 (ko) 2015-12-04 2017-06-08 솔브레인 주식회사 식각용 조성물 및 이를 이용한 반도체 소자의 제조방법
US10515820B2 (en) 2016-03-30 2019-12-24 Tokyo Electron Limited Process and apparatus for processing a nitride structure without silica deposition
US10167425B2 (en) * 2016-05-04 2019-01-01 Oci Company Ltd. Etching solution capable of suppressing particle appearance
KR102424391B1 (ko) * 2016-11-24 2022-08-05 삼성전자주식회사 식각 조성물 및 이를 이용한 집적회로 소자의 제조 방법
KR102240647B1 (ko) * 2017-03-28 2021-04-15 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물
KR101828437B1 (ko) 2017-04-06 2018-03-29 주식회사 디엔에스 실리콘 질화막 식각용 조성물.
KR102258316B1 (ko) * 2018-06-25 2021-06-01 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물
CN112996881A (zh) * 2018-11-15 2021-06-18 恩特格里斯公司 氮化硅蚀刻组合物及方法

Also Published As

Publication number Publication date
US11053440B2 (en) 2021-07-06
TW202325824A (zh) 2023-07-01
KR20210066007A (ko) 2021-06-04
US11697767B2 (en) 2023-07-11
JP2023109854A (ja) 2023-08-08
US20230295502A1 (en) 2023-09-21
US20210296136A1 (en) 2021-09-23
JP7438211B2 (ja) 2024-02-26
SG11202103910PA (en) 2021-05-28
JP2022507589A (ja) 2022-01-18
US20200157423A1 (en) 2020-05-21
TWI797396B (zh) 2023-04-01
TW202026403A (zh) 2020-07-16
KR102628802B1 (ko) 2024-01-24
CN112996881A (zh) 2021-06-18
WO2020102228A1 (en) 2020-05-22

Similar Documents

Publication Publication Date Title
KR102628802B1 (ko) 질화규소 에칭 조성물 및 방법
JP5349326B2 (ja) 窒化ケイ素の選択的除去のための組成物および方法
US11421157B2 (en) Formulations for high selective silicon nitride etch
TWI752669B (zh) 濕式蝕刻組合物及方法
US20150075570A1 (en) Methods for the selective removal of ashed spin-on glass

Legal Events

Date Code Title Description
A107 Divisional application of patent