KR20190049950A - 동적 레벨링 프로세스 가열기 리프트 - Google Patents

동적 레벨링 프로세스 가열기 리프트 Download PDF

Info

Publication number
KR20190049950A
KR20190049950A KR1020197012784A KR20197012784A KR20190049950A KR 20190049950 A KR20190049950 A KR 20190049950A KR 1020197012784 A KR1020197012784 A KR 1020197012784A KR 20197012784 A KR20197012784 A KR 20197012784A KR 20190049950 A KR20190049950 A KR 20190049950A
Authority
KR
South Korea
Prior art keywords
substrate support
substrate
orientation
processing
support surface
Prior art date
Application number
KR1020197012784A
Other languages
English (en)
Other versions
KR102270168B1 (ko
Inventor
제이슨 엠. 샬러
마이클 로러
그렉 프리먼
로버트 브렌트 보팻
투안 안 응우옌
윌리엄 타일러 위버
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217019342A priority Critical patent/KR20210079424A/ko
Publication of KR20190049950A publication Critical patent/KR20190049950A/ko
Application granted granted Critical
Publication of KR102270168B1 publication Critical patent/KR102270168B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

프로세싱 챔버 내에서 표면에 대하여 기판 지지부를 배향시킴으로써, 프로세싱 챔버에서 프로세싱 결과들을 개선하기 위한 방법 및 장치가 제공된다. 방법은, 샤워헤드의 출력 표면에 대하여 제1 배향으로 기판 지지부의 지지 표면을 배향시키는 단계 ― 출력 표면에 대한 지지 표면의 제1 배향은 동일 평면 상에 있지 않음 ―, 및 제1 배향으로 배향된 지지 표면 상에 배치된 기판 상에 재료의 제1 층을 증착하는 단계를 포함한다.

Description

동적 레벨링 프로세스 가열기 리프트
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체 프로세싱 챔버 내에서 기판을 프로세싱하는 장치 및 방법에 관한 것이다.
[0002] 반도체 프로세싱 시스템들은 박막들 및 코팅들을 증착, 에칭, 패터닝, 및 처리함으로써 반도체 디바이스들을 형성하기 위해 사용된다. 종래의 반도체 프로세싱 시스템은 하나 이상의 프로세싱 챔버들, 및 이들 간에 기판을 이동시키기 위한 수단을 포함한다. 기판은 로봇 암에 의해 이송될 수 있으며, 그 로봇 암은 기판을 픽업(pick up)하기 위해 연장되고, 리트랙트(retract)되고, 이어서, 프로세싱 챔버 내의 상이한 포지션(position)에 기판을 포지셔닝하기 위해 다시 연장될 수 있다. 각각의 프로세싱 챔버는 전형적으로, 페데스탈(pedestal), 또는 프로세싱을 위해 기판을 지지하는 일부 동등한 방식을 갖는다.
[0003] 페데스탈은 프로세싱 동안 기판에 열을 제공하도록 구성될 수 있다. 프로세싱 동안, 기판은 기계, 압력차, 또는 정전 수단에 의해 페데스탈에 홀딩될 수 있다. 페데스탈 상에 있는 경우, 기판에 대해 하나 이상의 프로세스들이 수행될 수 있으며, 그 하나 이상의 프로세스들은 기판 상에 형성되는 막을 증착, 에칭, 및/또는 열 처리하는 것을 포함할 수 있다.
[0004] 대부분의 반도체 디바이스 형성 프로세스들은, 기판 표면에 대해 수행되는 프로세스들의 균일성이 개선되는 경우, 개선된다. 증착, 에칭, 또는 열 처리 프로세스의 균일성에 영향을 미칠 수 있는 파라미터들 중 하나는, 프로세싱 동안의, 프로세싱 챔버에서 발견되는 챔버 컴포넌트들 중 하나 이상, 이를테면 샤워헤드에 대한 기판의 포지션이다. 결과로서, 프로세싱 시스템들은 전형적으로, 프로세싱 단계들 중 하나 이상 동안, 프로세싱 챔버에서, 챔버 컴포넌트들 중 하나 이상에 대한 기판들의 평행하고 균일하며 재현가능한 배치를 제공하도록 설계된다.
[0005] 산화물 및 질화물 화학 기상 증착 프로세스들은 각각, 챔버 컴포넌트들 중 하나 이상, 이를테면 샤워헤드에 대한 페데스탈의 경사(tilt) 및 포지션에 대해, 상이한 균일성 응답을 갖는다. 이들 재료들은 산화물 및 질화물 막들을 레이어링(layer)하는 교번 프로세스로 동일한 챔버에서 증착된다. 최적의 프로세스 결과들을 보장하기 위해, 각각의 층은, 최상의 프로세스 결과들을 달성하도록 독립적으로 튜닝되는, 샤워헤드에 대한 페데스탈 경사 및 포지션을 요구한다. 현재, 페데스탈 포지션 및 배향(orientation)은 가장 민감한 증착 재료에 대해서만 수동으로 조정되며(경사지게 되며), 다른 층의 균일성은 최적화되지 않는다. 따라서, 프로세스 챔버 내에서 페데스탈을 포지셔닝하기 위한 자동화된 다중-포지션 경사 메커니즘이 필요하다.
[0006] 따라서, 반도체 프로세싱 챔버 내에서 기판을 프로세싱하는 장치 및 방법이 본 기술분야에 필요하다.
[0007] 본 개시내용은 일반적으로, 프로세싱 챔버 내에서 표면에 대하여 기판 지지부를 배향시킴으로써, 프로세싱 챔버에서 프로세싱 결과들을 개선하기 위한 방법 및 장치를 제공한다.
[0008] 본원에서 개시되는 실시예들은 프로세싱 챔버에서 기판을 프로세싱하는 방법을 포함하며, 그 방법은, 샤워헤드의 출력 표면(output surface)에 대하여 제1 배향으로 기판 지지부의 기판 지지 표면을 배향시키는 단계를 포함하며, 여기서, 출력 표면에 대한 기판 지지 표면의 제1 배향은 동일 평면 상에 있지 않는다. 방법은, 기판 지지 표면이 제1 배향으로 배향되어 있는 동안, 기판 지지 표면 상에 배치된 기판 상에 재료의 제1 층을 증착하는 단계를 더 포함한다.
[0009] 다른 실시예에서, 프로세싱 챔버에서 기판 지지부를 프로세싱하는 방법은, 샤워헤드의 출력 표면에 대하여 기판 지지부의 기판 지지 표면의 배향을 연속으로 변화시키는 단계를 포함하며, 여기서, 출력 표면에 대한 기판 지지 표면의 배향은, 배향이 연속으로 변화되는 동안, 동일 평면 상에 있지 않는다. 방법은, 기판 지지 표면 상에 배치된 기판 상에 재료의 제1 층을 증착하는 단계를 더 포함하며, 여기서, 기판 지지 표면의 배향은 연속으로 변화되고 있다.
[0010] 다른 실시예에서, 기판 지지 조립체는 기판 지지 표면을 갖는 페데스탈을 지지하는 지지 부재, 지지 부재에 부착된 캐리어 플레이트를 포함한다. 기판 지지 조립체는 포지셔닝 시스템을 더 포함하며, 그 포지셔닝 시스템은 베이스 플레이트, 및 베이스 플레이트 및 캐리어 플레이트에 커플링된 2개 이상의 서보 모터 조립체들을 갖고, 여기서, 서보 모터 조립체들 각각은 모터 및 선형 액추에이터를 갖는다.
[0011] 도 1은 챔버 조립체의 측단면도이다.
[0012] 도 2는 챔버 조립체의 측단면도이다.
[0013] 도 3a는 기판 지지 조립체의 등각 투영도이다.
[0014] 도 3b는 도 3a에 도시된 섹셔널 조인트(sectional joint) 및 캐리어 플레이트의 중앙 부분의 측단면도이다.
[0015] 도 3c는 섹셔널 조인트 및 캐리어 플레이트의 일부의 일 실시예의 측단면도이다.
[0016] 도 4는 기판 지지 조립체의 일 실시예의 측단면도이다.
[0017] 도 5는 기판 지지 조립체의 일 실시예의 측단면도이다.
[0018] 도 6은 기판 지지 조립체의 일 실시예의 측단면도이다.
[0019] 도 7은 기판 지지 조립체의 일 실시예의 측단면도이다.
[0020] 도 8은 본 발명을 달성하기 위해 사용되는 프로세스의 일 실시예를 도시하는 흐름도이다.
[0021] 도 1은 본원에서 제공되는 개시내용의 실시예에 따른, 반도체 디바이스 프로세싱 단계의 일부를 수행하기 위해 사용될 수 있는 예시적인 챔버 조립체(10)를 도시한다. 도 1을 참조하면, 챔버 조립체(10)는 프로세싱 챔버(30), 및 프로세싱 챔버(30) 내에서 기판을 리프팅 및 포지셔닝하기 위한 기판 지지 조립체(130)를 포함할 수 있다. 기판 지지 조립체(130)는 일반적으로, 프로세싱 챔버(30) 내에 부분적으로 배치된 지지 부재(90)에 커플링된 페데스탈(108)을 포함한다. 기판 지지 조립체(130)는 일반적으로, 2개 이상의 서보 모터 조립체들(131 및 132)에 의해, 챔버 베이스(33) 내의 챔버 개구(29)를 통해 연장되는 중심 축(101)에 평행한 방향으로, 수직으로 이동되도록 적응된다. 가요성 밀봉 부재(92), 이를테면 벨로즈 조립체는 기판 지지 조립체(130)와 프로세싱 챔버(30) 사이의 밀봉을 제공하고, 그리고 원하는 압력, 이를테면 진공 압력으로 챔버가 유지될 수 있게 한다.
[0022] 프로세싱 챔버(30)는 외측 챔버 벽(32), 하부 챔버 베이스(33), 및 커버(35)를 포함하며, 그 커버(35)는 프로세싱 챔버(30)의 상단에 그리고 베이스(33)와 대향하게 배치된다. 베이스(33), 커버(35), 및 벽(32)은 또한, 본원에서 벽(32)이라고 일괄적으로 지칭된다. 프로세싱 챔버(30)는 샤워헤드(36)를 포함하며, 그 샤워헤드(36)는 커버(35)로부터 현수(suspend)되어, 커버(35)로부터 프로세싱 챔버(30) 내로 하방으로 돌출된다. 벽(32) 내의 슬릿 밸브 개구(38)는 물체, 이를테면 기판 또는 웨이퍼(미도시)가 프로세싱 챔버(30) 내에 도입될 수 있게 한다. 웨이퍼는 프로세싱에 대한 준비를 위해 페데스탈(108)의 상단 표면(108A) 상에 포지셔닝된다. 가스 공급 소스(70)는 커버(35) 내의 개구(71) 및 샤워헤드(36)의 개구들(181)을 통해 프로세스 구역(37)에 프로세스 가스 또는 가스들을 제공한다. 일 예에서, 프로세싱 챔버(30)는 화학 기상 증착(CVD) 챔버를 표현하지만, 본 발명은, 인클로저 내의 지지 부재의 이동을 요구하는, 다른 프로세싱 챔버들 및 프로세스들, 이를테면 물리 기상 증착 프로세스들, 에칭 프로세스들 등에 적용된다.
[0023] 페데스탈(108) 및 지지 부재(90)는 클램프(136)에 의해 캐리어 플레이트(135)에 커플링된다. 각 회전 커플링, 예컨대 구형 볼 조인트(137)는 프로세싱 챔버(30) 아래에 배치된 서보 모터 조립체들(131 및 132)에 캐리어 플레이트(135)를 커플링시킨다. 가요성 밀봉 부재(92)가 캐리어 플레이트(135) 및 베이스(33)에 고정된다. 서보 모터 조립체들(131 및 132)은, 원하는 포지션 분해능, 이를테면 0.001” 미만의 분해능으로, 원하는 방향(예컨대, Z-방향)으로의 정밀 선형 이동을 제공하기 위한 볼 스크루 구동식 선형 모션 가이드 액추에이터(ball screw driven linear motion guided actuator)를 포함할 수 있다. 하나의 회전 자유도만을 갖는 조인트, 예컨대 피벗 조인트(pivot joint)들(139)은 서보 모터 조립체들(131 및 132)을 챔버 바닥(33)에 연결하고, 기판 지지 조립체(130)를 챔버 바닥(33)에 추가로 고정시킨다. 전기 라인들(190) 및 유체 라인들(191)은 지지 부재(90)를 통하게 배치되고, 페데스탈(108) 내의 컴포넌트들에 부착되어, 페데스탈(108) 내의 이들 컴포넌트들에 냉각제 및 전력을 제공한다. 전형적으로, 서보 모터 조립체들(131 및 132)은 프로세싱 챔버(30)의 바닥에 연결되고, 그리고 시스템 제어기(199)와 통신하는, 장치 내의 컴포넌트들의 포지션을 결정하기 위한 인코더 조립체 및 구동 조립체를 각각 포함한다. 서보 모터 조립체들(131 및 132) 내의 구동 조립체들은 서보 모터들(138)을 포함하는 것이 바람직하지만, 본원에서 제공되는 개시내용의 범위로부터 벗어나지 않으면서 다른 모션 액추에이터 조립체들이 사용될 수 있다.
[0024] 동작 시, 시스템 제어기(199)는 서보 모터들(138)로 하여금, 프로세싱 구역(37) 내에서 슬릿 밸브 개구(38)에 대한 웨이퍼 이송 위치 또는 샤워헤드(36)에 대한 원하는 프로세싱 위치에 페데스탈(108)을 포지셔닝하기 위해, 선형 방향(131A 및 132A)으로 캐리어 플레이트(135)를 구동시키게 한다. 서보 모터 조립체들(131 및 132)은, 서보 모터 조립체들(131 및 132)이 Z 축에 대하여 또는 중심 축(101)을 따라 평행하게 동일 속도로 동시에 구동되는 경우, 샤워헤드(36)에 대하여 레벨 평면(예컨대, X-Y 평면에 평행함) 상에서 페데스탈(108)을 상승 및 하강시킬 수 있고, 그에 따라, 샤워헤드(36)와 페데스탈(108) 사이의 거리(110)가 상단 표면(108A)에 걸쳐 동일하게 된다.
[0025] 프로세싱 동안, 샤워헤드(36) 내의 개구들(181)을 통해 프로세싱 구역(37) 내로 프로세스 가스들을 전달하도록 가스 공급 소스(70)에 시스템 제어기(199)가 시그널링할 때, 증착 프로세스가 시작된다. 도 2를 참조하여 아래에서 더 논의될 바와 같이, 서보 모터 조립체들(131 및 132)은 또한, 기판에 걸친 프로세스 균일성을 증가시키기 위해, 샤워헤드(36)에 대하여 페데스탈(108)의 배향(예컨대, 경사)을 정적으로 또는 동적으로 조작하도록, 독립적으로 제어될 수 있다.
[0026] 제어기(199)는 중앙 프로세싱 유닛(CPU)(199A), 메모리(199B), 및 지원 회로들(또는 I/O)(199C)을 포함할 수 있다. CPU는, 다양한 프로세스들 및 하드웨어(예컨대, 패턴 생성기들, 모터들, 및 다른 하드웨어)를 제어하기 위해 산업 현장들에서 사용되고, 프로세스들(예컨대, 프로세싱 시간, 및 기판 포지션 또는 위치)을 모니터링하는 임의의 형태의 컴퓨터 프로세서들 중 하나일 수 있다. 메모리(미도시)는 CPU에 연결되고, 그리고 쉽게 입수가능한 메모리, 이를테면 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 소프트웨어 명령들, 알고리즘들, 및 데이터는 CPU에 명령하기 위해 메모리 내에 코딩되어 저장될 수 있다. 또한, 지원 회로들(미도시)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU에 연결된다. 지원 회로들은 통상적인 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로망, 서브시스템들 등을 포함할 수 있다. 제어기에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은 어떤 태스크들이 기판에 대해 수행가능한지를 결정한다. 프로그램은 제어기에 의해 판독가능한 소프트웨어일 수 있고, 예컨대 프로세싱 시간, 및 기판 포지션 또는 위치를 모니터링 및 제어하기 위한 코드를 포함할 수 있다.
[0027] 도 2를 참조하면, 기판의 노출된 표면에 대해 수행되는 프로세스의 균일성에 페데스탈의 배향이 영향을 미치는 프로세스 단계들을 수용하기 위해, 시스템 제어기(199)는, 샤워헤드(36)에 대하여 캐리어 플레이트(135)의 포지션 및/또는 배향을 조작하기 위해, 2개 이상의 서보 모터 조립체들(131 및 132)이 상이한 속도들로, 상이한 방향들로, 그리고/또는 상이한 수직 포지션들로 구동되게 할 수 있으며, 이는 중심 축(101)에 대하여 피벗 포인트(105)를 중심으로 지지 부재(90) 및 페데스탈(108)의 경사를 발생시킬 수 있다. 도 2는 일반적으로, 샤워헤드(36)에 대한 페데스탈(108)의 배향에 민감한 반도체 제작 프로세스의 균일성을 개선하기 위한, 샤워헤드(36)에 대한 페데스탈(108)의 변경된 포지션을 도시한다. 페데스탈(108)의 경사 축(201)은 피벗 포인트(105)를 중심으로 챔버 개구(29)의 중심 축(101)에 대하여 경사진 것으로 도시되며, 이는 샤워헤드(36)의 표면(36A)과 상단 표면(108A)의 대향 외측 에지들 사이에 더 먼 거리(210) 및 더 가까운 거리(211)를 발생시킨다. 구형 볼 조인트들(137)은 캐리어 플레이트(135)와 서보 모터 조립체들(131 및 132) 간에 움직임을 제공한다. 베이스(33) 및 서보 모터 조립체들(131 및 132)에 부착된 피벗 조인트들(139)은, 지지 부재(90)가 중심 축(101)에 대하여 일정 방향으로 피벗팅되게 하는, 서보 모터 조립체들(131 및 132)의 상이한 모션에 의해 생성되는 생성된 모멘트(M)로 인해 구부러진다(flex). 피벗 조인트들(139)의 구부러짐은 캐리어 플레이트(135)에 커플링된 지지 부재(90)의 회전 및 변위를 가능하게 한다. 동작 시, 서보 모터 조립체들이 캐리어 플레이트(135)를 수직으로, 예컨대, 베이스(33)로부터의 거리(206)로부터 베이스(33)로부터의 거리(207)까지 이동시킬 때, 거리(206)에서의 포지션으로부터 캐리어 플레이트(135)가 이동된 거리에 비례하는 포지션으로 피벗 포인트(105)가 또한 이동될 것이다. 이러한 방식으로, 페데스탈(108) 포지션 및 중심 축(101)에 대한 경사량이 각각의 개별 프로세스 단계 및 막 층에 대해 자동으로 변경되어, 막 스택(stack)에 따른 각각의 증착된 층의 균일성을 최적화할 수 있다. 도 2에 예시된 서보 모터 조립체들이 동일 평면에 있을 필요는 없고(예컨대, X-Z 평면에 있을 필요는 없고), 일부 경우들에서, 도 3a에 도시된 바와 같이, 3개 이상의 서보 모터 조립체들이 중심 축(101)에 대하여 다양한 각도 배향들로 분배될 수 있음이 유의되어야 한다.
[0028] 이제 도 3a를 참조하면, 일 실시예에서, 기판 지지 조립체(130)는 3개의 서보 모터 조립체들(131, 132, 및 133)을 포함하며, 그 3개의 서보 모터 조립체들(131, 132, 및 133)은 각각, 피벗 조인트들(139)을 통해 베이스 플레이트(360)에 부착되고, 구형 볼 조인트(137)를 통해 캐리어 플레이트(135)에 부착된다. 베이스 플레이트(360)는 프로세싱 챔버(30)의 바닥에 대한 기판 지지 조립체(130)의 용이한 탑재를 가능하게 한다. 페데스탈(108)은 지지 부재(90)에 탑재되며, 그 지지 부재(90)는 베이스 플레이트(360)의 중앙에서 챔버 개구(29)를 관통하여 포지셔닝된다. 지지 부재(90)는 캐리어 플레이트(135)에 커플링된다. 가요성 밀봉 부재(92)가 프로세싱 챔버(30) 외부에 탑재되고, 베이스 플레이트(360)와 캐리어 플레이트(135) 사이의 밀봉을 제공하며, 전형적으로는 대기압 미만인 압력 하에서 프로세싱 챔버(30)가 유지될 수 있게 한다. 구형 볼 조인트들(137)은 선형 액추에이터들(320)에 연결된 조인트 하우징(310)을 포함하며, 베어링 핀들(311)은 캐리어 플레이트(135)에 베어링 조인트들을 연결한다. 구형 볼 조인트들(137)은 도 3b를 참조하여 아래에서 더 상세히 논의된다. 일부 실시예들에서, 조인트 하우징(310)은 선형 액추에이터(320)의 볼 스크루(미도시) 부분에 부착된다. 서보 모터들(138)은 선형 액추에이터(320)의 볼 스크루 부분을 구동시키고, 그에 따라, 선형 액추에이터(320) 내의 가이딩 엘리먼트들을 따라 수직 방향으로 구형 볼 조인트들(137) 및 캐리어 플레이트(135)를 구동시킨다. 동일한 동적 모션 프로파일들(예컨대, 가속도, 속도, 이동 길이)을 사용하여 서보 모터들(138)을 구동시키는 것은, 캐리어 플레이트(135)가 상승 및 하강될 때, 공간 내의 캐리어 플레이트(135)의 배향이 유지되게 할 것이다. 그러나, 상이한 동적 모션 프로파일들을 사용하여 서보 모터들을 구동시키는 것은, 캐리어 플레이트(135)의 배향의 조작을 가능하게 할 것이며, 이는 지지 부재(90) 및 페데스탈(108)의 경사를 발생시킬 수 있다. 서보 모터 조립체들(131, 132, 및 133)의 모션에 의해 모멘트들(M)이 생성됨에 따라, 피벗 조인트들(139)이 구부러질 수 있게 하기 위해, 피벗 조인트들(139)이 서보 모터 조립체들(131, 132, 및 133) 및 베이스 플레이트(360)에 부착된다.
[0029] 일 실시예에서, 도 2 및 도 3a를 참조하면, 기판 지지 조립체(130)는 3개의 서보 모터 조립체들(131, 132, 및 133)을 포함하며, 그 3개의 서보 모터 조립체들(131, 132, 및 133)은, 연속적인 진동 모션(예컨대, 전후(back and forth) 모션)으로 구동되는 경우, 이동(A)(도 2)에 의해 표현된 바와 같이, 경사 축(201)이 중심 축(101)을 중심으로 세차운동(precess)하게 한다. 동작 시, 시스템 제어기(199)는, 캐리어 플레이트(135) 및 페데스탈(108)의 포지션 및/또는 배향을 조작하기 위해, 상이한 동적 모션 프로파일들을 사용하여 서보 모터 조립체들(131, 132, 및 133)이 연속적으로 이동하게 하고, 그에 따라, 페데스탈(108)이 피벗 포인트(105)를 중심으로 피벗팅하고 그리고/또는 중심 축(101)을 따라 이동함에 따라, 페데스탈(108)의 포지션 및/또는 배향이 계속 변화될 것이다. 일 예에서, 시스템 제어기(199)는, 캐리어 플레이트(135) 및 페데스탈(108)의 배향을 조작하기 위해, 상이한 동적 모션 프로파일들을 사용하여 서보 모터 조립체들(131, 132, 및 133)이 연속적으로 이동하게 하고, 그에 따라, 페데스탈(108)이 피벗 포인트(105)를 중심으로 피벗팅함에 따라, 샤워헤드(36)의 표면(36A) 및 중심 축(101)에 대한 페데스탈(108)의 배향이 계속 변화된다. 서보 모터들을 연속적으로 구동시킴으로써, 페데스탈(108) 및 지지 부재(90)의 배향이 고정 기준 프레임(예컨대, X-Y-Z 기준 프레임)에 대하여 하나 이상의 방향들로 연속적으로 이동할 것이다. 일정 시간 기간 동안 경사 축(201)이 중심 축(101)을 중심으로 세차운동하게 하는 연속적인 경사가 특정 CVD 증착 막들의 증착에 대한 프로세스 균일성을 개선한다는 것이 발견되었다.
[0030] 도 3b는, 도 3a의 파선들(3B-3B)에 의해 표현된 바와 같은, 선형 액추에이터(320) 및 캐리어 플레이트(135)에 연결된 구형 볼 조인트(137)의 측단면도이다. 도 3a를 참조하여 위에서 논의된 바와 같이, 조인트 하우징(310)이 선형 액추에이터(320)에 연결되고, 볼 스크루(미도시)를 통해 수직으로 이동한다. 캐리어 플레이트(135)는 부착 포인트(135A)에서 핀(311) 및 베어링(315)에 의해 조인트 하우징(310)에 연결된다. 구형 볼 조인트(137) 내의 베어링(315)의 목적은 부착 포인트(135A)를 중심으로하는 3개의 자유도들(피치(pitch), 요(yaw), 및 롤(roll))을 가능하게 하기 위한 것이다. 수평 라인(352)은 핀(311)의 중심 축을 통과하며, 수평 라인(351)은 클램프(136)의 중심을 통과하고, 그리고 도 3a에 도시된 캐리어 플레이트(135)의 구성을 위해, 피벗 포인트(105)를 통과한다. 일부 실시예들에서, 수평 라인들(351 및 352)이 동일 평면 상에 있는 경우, 서보 모터 조립체들(131, 132, 및 133)에 의해 제공되는 모션에 의해 생성되는 피벗 포인트(105)는 전형적으로, 동일 평면 상 라인들(351 및 352)을 포함하는 수평 평면 내에 그리고 중심 축(101) 상에 정렬될 것이다. 다른 실시예들에서, 수평 라인들(351 및 352)이 각각 상이한 수평 평면들(예컨대, 상이한 X-Y 평면들) 내에서 발견되는 경우, 또는 핀(311)의 중심 축과 클램프(136)의 축이 동일 선 상에 있지 않은 경우, 피벗 포인트(105)는 라인(351)을 포함하는 수평 평면으로부터 오프셋될 것이다. 대안적인 실시예에서, 대향 지지 위치들로 구성된 3개의 서보 모터 조립체들의 조합은, 4개의 자유도들(상승, 피치, 요, 및 롤)로 이동에 대한 유연성을 기판 지지 조립체(130)에 제공하면서, 캐리어 플레이트(135) 모션을 완전히 구속한다.
[0031] 도 3c는 캐리어 플레이트(135)의 다른 실시예를 도시하며, 여기서, 구형 볼 조인트(137)의 핀(311)의 구성은 클램프(136)에 대하여 오프셋된다. 이 구성에서, 캐리어 플레이트(135)는, 캐리어 플레이트(135)의 클램프 구역을 캐리어 플레이트(135)의 구형 볼 조인트 구역 또는 부착 포인트(135A) 구역에 커플링시키는 수직 벽들(375)을 포함한다. 캐리어 플레이트(135)의 이 구성은, 도 3b에 도시된 구성과 비교하여, 클램프(136)로부터 거리를 두고 부착 포인트(135A)를 포지셔닝한다. 이 실시예에서, 핀(311)의 중심 포인트를 통과하는 수평 라인(352)은, 지지 부재(90) 및 캐리어 플레이트(135)의 하부 부분이 서보 모터 조립체들의 선형 액추에이터(320)에 부착되는 위치의 중심 포인트를 통과하는 수평 라인(351)보다 z 축을 따라 더 높이 포지셔닝된다. 이 구성에서, 전형적으로, 피벗 포인트(105)는 핀(311)의 중심 축과 동일한 평면 상에 유지되며, 피벗 포인트(105)가 라인(351) 위로 거리를 두고 있기 때문에, 구형 볼 조인트들은, 도 3b에 도시된 바와 같이 라인들(351 및 352)이 동일 평면 상에 있는 경우 요구되었을 수 있는 정도와 동일한 정도의 경사의 경사 축(201)을 달성하기 위해, 선형 액추에이터들을 따라 더 짧은 거리를 이동한다. 구형 볼 조인트들이 이동하는 거리가 더 짧게 되면, 서보 모터들, 볼 스크루들, 및 피벗 조인트들을 포함하는 이동 파트들이 덜 마모된다. 이는 일 실시예에서, 도 2 및 도 3a를 참조하여 위에서 논의된 바와 같이, 증착 프로세스 동안에 일정 시간 기간 동안 서보 모터들이 연속적으로 구동되어, 페데스탈(108)의 연속적인 회전 경사를 제공하는 경우, 특히 중요하게 된다. 일부 실시예들에서, 증착 프로세스 동안에 일정 시간 기간 동안, 서보 모터 조립체들(131, 132, 및 133)이 연속으로 구동되고, 기판 지지 상단 표면(108A)이 샤워헤드(36)의 출력 표면(36A)에 대하여 연속으로 변화된다. 따라서, 일부 실시예들에서, 피벗 포인트(105)는, 클램프(136)의 중심에 대하여 핀(311)의 포지션이 수직 방향(예컨대, Z-방향)으로 원하는 거리만큼 떨어져 있도록, 캐리어 플레이트(135) 또는 조인트 하우징(310)의 구성을 변경함으로써 조정될 수 있다.
[0032] 도 4는 구형 볼 조인트들(137) 및 각진 블록들(440A 및 440B)과 조합하여, 반경방향으로 배향된 선형 모터들(430)을 사용하는 기판 지지 조립체(130)의 일 실시예를 도시한다. 구형 볼 조인트들(137)은 캐리어 플레이트(135)의 상부 외측 에지 상에 포지셔닝된 각진 블록들(440A)에 연결된다. 각진 블록(440A)의 각진 측면 에지(465)는 지지 부재(90)를 등지게, 그리고 선형 모터(430)를 향하는 방향으로 포지셔닝된다. 선형 모터(430)는 각진 블록들(440B)에 연결된다. 각진 블록(440B)의 각진 측면 에지(465)는 지지 부재(90) 및 캐리어 플레이트(135)를 향하게 각을 이루어 포지셔닝된다. 선형 베어링(466)은 각진 블록들(440A 및 440B)의 각진 측면들(465) 사이에 포지셔닝된다. 각진 블록(440A), 선형 베어링(466), 및 각진 블록(440B)의 적층은, 수평으로 탑재된 선형 모터(430)의 선형 모션(L)을, 각진 블록(440A 및 440B) 및 선형 베어링(466)을 통하여 구형 볼 조인트(137) 및 캐리어 플레이트(135)에 이르게, 수직 방향으로 재지향시키고, 그에 따라, 지지 부재(90)의 포지션 및/또는 배향이 변화된다.
[0033] 도 5는 V-블록들(540) 및 볼 전달 조인트들(550)과 조합하여, 수평 포지션으로 반경방향으로 이격된 선형 모터들(430)을 사용하는 기판 지지 조립체(130)의 일 실시예를 도시한다. V-블록(540)은 V-블록의 각진 면(565)을 따라 v-형상 그루브(미도시)를 갖는다. V-블록(540)의 각진 면(565)은 지지 부재(90), 볼 전달 조인트(550), 및 캐리어 플레이트(135)를 향하게 각을 이루어 포지셔닝된다. 볼 전달 조인트(550)의 볼은 V-블록의 각진 측면의 표면 상의 V-그루브(미도시) 내에서 롤링 또는 슬라이딩한다. 선형 모터(430)는 수평 방향(L)으로 V-블록(540)을 구동시킨다. 볼 전달 조인트(550) 위의 V-블록(540)의 포지셔닝은, 수평으로 탑재된 선형 모터(430)의 선형 모션(L)을, V-블록(540)을 통하여 볼 전달 조인트(550) 및 캐리어 플레이트(135)에 이르게, 수직 방향으로 재지향시키고, 그에 따라, 지지 부재(90)의 포지션 및/또는 배향이 변화된다.
[0034] 도 6은 힌지 조인트(650)에 의해 캐리어 플레이트(135)에 연결된 볼 스크루들을 포함하는 서보 모터 조립체(131 및 132)를 사용하는 기판 지지 조립체(130)의 일 실시예를 도시한다. 유니버설 조인트(universal joint)(660)는 베이스 플레이트(360)에 서보 모터 조립체(132)를 탑재한다. 서보 모터 조립체(132)는 방향(N)으로 볼 스크루를 구동시켜서, 캐리어 플레이트(135)의 배향을 변화시키고, 그에 따라, 경사 축(201)을 중심으로 지지 부재(90)의 배향 및/또는 포지션이 변화된다.
[0035] 도 7은, 캐리어 플레이트(135)에 탑재되고 구형 볼 조인트(137)를 통해 베이스 플레이트(360)에 연결된 서보 모터 조립체(131 및 132)를 사용하는 기판 지지 조립체(130)의 일 실시예를 도시한다. 서보 모터 조립체(132)는 방향(N)으로 볼 스크루를 구동시킨다. 구형 볼 조인트(137)는 볼 스크루가 선형 베어링(770) 및 베이스 플레이트(360)에 대하여 피벗팅할 수 있게 하고, 그에 따라, 경사 축(201)을 중심으로 지지 부재(90)의 배향 및/또는 포지션이 변화된다.
[0036] 도 8은 증착 챔버 내에서 적층된 층들의 균일성을 개선하기 위한 하나의 방법(800)을 도시한다. 블록(810)에서 그리고 도 1을 참조하여, 웨이퍼가 프로세싱 챔버(30)의 슬릿 밸브 개구(38)를 통해 지향되고, 페데스탈(108)의 상단 표면(108A) 상에 배치된다. 블록(820)에서, 프로세싱 챔버(30) 내에서 페데스탈(108)이 제1 배향으로 포지셔닝되며, 샤워헤드(36)에 대한 페데스탈(108)의 상단 표면(108A)의 배향은 특정 증착 프로세스에 대해 웨이퍼에 걸친 개선된 증착 균일성을 제공하기 위해 사용된다. 블록(830)에서, 원하는 증착 프로세스를 사용하여 층이 웨이퍼 상에 증착된다. 블록(840)에서, 프로세싱 챔버 내에서 페데스탈(108)이 제2 배향으로 포지셔닝되며; 샤워헤드(36)에 대한 페데스탈(108)의 상단 표면(108A)의 배향은 증착될 제2 층에 대해 웨이퍼에 걸친 개선된 증착 균일성을 제공하기 위해 사용된다. 블록(850)에서, 균일하게 증착된 제1 층 상에 제2 층이 증착된다. 이어서, 블록들(810 내지 850)은 규정된 수의 균일하게 증착된 층들을 달성하기 위해 임의의 횟수로 반복될 수 있다. 블록(860)에서, 기판이 페데스탈로부터 제거되고, 프로세싱 챔버(30)로부터 제거된다.
[0037] 본 발명들이 바람직한 실시예들에 관하여 위에서 설명되었지만, 본 발명이 이에 제한되지 않음이 당업자에 의해 또한 인식될 것이다. 위에서 설명된 본 발명의 다양한 특징들 및 양상들은 개별적으로 또는 함께 사용될 수 있다. 추가로, 본 발명이 특정 환경에서의 그리고 특정 애플리케이션들에 대한 본 발명의 구현의 상황에서 설명되었지만, 당업자는 본 발명의 유용성이 이에 제한되지 않고, 본 발명이 임의의 수의 환경들 및 구현들에서 활용될 수 있음을 인식할 것이다.

Claims (15)

  1. 샤워헤드의 출력 표면(output surface)에 대하여 제1 배향(orientation)으로 기판 지지부의 기판 지지 표면을 배향(orient)시키는 단계 ― 상기 출력 표면에 대한 상기 기판 지지 표면의 제1 배향은 동일 평면 상에 있지 않음 ―; 및
    상기 기판 지지 표면이 상기 제1 배향으로 배향되어 있는 동안, 상기 기판 지지 표면 상에 배치된 기판 상에 재료의 제1 층을 증착하는 단계
    를 포함하는,
    프로세싱 챔버에서 기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 출력 표면에 대하여 제2 배향으로 상기 기판 지지 표면을 배향시키는 단계 ― 상기 출력 표면에 대한 상기 기판 지지 표면의 제2 배향은 동일 평면 상에 있지 않음 ―;및
    제2 증착 프로세스에 따라 재료의 제2 층을 증착하는 단계
    를 더 포함하는,
    프로세싱 챔버에서 기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 기판 지지 표면을 배향시키는 단계는, 2개 이상의 서보 모터들을 사용하여, 상기 샤워헤드에 대하여 상기 제1 배향으로 상기 기판 지지부의 기판 지지 표면을 포지셔닝(position)하는 단계를 더 포함하는,
    프로세싱 챔버에서 기판을 프로세싱하는 방법.
  4. 제3 항에 있어서,
    상기 서보 모터들은 제어기에 의해 독립적으로 제어되는,
    프로세싱 챔버에서 기판을 프로세싱하는 방법.
  5. 제4 항에 있어서,
    상기 기판 지지 표면을 포지셔닝할 때, 상기 기판 지지 표면은 피벗 포인트(pivot point)를 중심으로 상기 챔버의 중심 축에 대하여 이동되며,
    상기 피벗 포인트는 캐리어 플레이트 아래에 있는,
    프로세싱 챔버에서 기판을 프로세싱하는 방법.
  6. 제3 항에 있어서,
    상기 기판 지지 표면을 배향시킬 때, 상기 서보 모터들 각각의 속도, 방향, 또는 수직 포지션이 조정되는,
    프로세싱 챔버에서 기판을 프로세싱하는 방법.
  7. 샤워헤드의 출력 표면에 대하여 기판 지지부의 기판 지지 표면의 배향을 연속으로 변화시키는 단계 ― 상기 출력 표면에 대한 상기 기판 지지 표면의 배향은, 상기 배향이 연속으로 변화되는 동안, 동일 평면 상에 있지 않음 ―; 및
    상기 기판 지지 표면 상에 배치된 기판 상에 재료의 제1 층을 증착하는 단계 ― 상기 기판 지지 표면의 배향은 연속으로 변화되고 있음 ―
    를 포함하는,
    프로세싱 챔버에서 기판 지지부를 프로세싱하는 방법.
  8. 제7 항에 있어서,
    상기 기판 지지 표면의 배향을 연속으로 변화시키는 단계는, 2개 이상의 서보 모터들을 사용하여, 상기 샤워헤드의 출력 표면에 대하여 상기 기판 지지 표면의 배향을 연속으로 변화시키는 단계를 더 포함하는,
    프로세싱 챔버에서 기판 지지부를 프로세싱하는 방법.
  9. 제8 항에 있어서,
    상기 서보 모터들은 제어기에 의해 독립적으로 제어되는,
    프로세싱 챔버에서 기판 지지부를 프로세싱하는 방법.
  10. 제9 항에 있어서,
    상기 샤워헤드의 출력 표면에 대하여 상기 기판 지지 표면의 배향을 연속으로 변화시킬 때, 상기 기판 지지 표면은 피벗 포인트를 중심으로 상기 챔버의 중심 축에 대하여 이동되며,
    상기 피벗 포인트는 캐리어 플레이트 아래에 있는,
    프로세싱 챔버에서 기판 지지부를 프로세싱하는 방법.
  11. 제7 항에 있어서,
    상기 기판 지지 표면의 배향을 연속으로 변화시킬 때, 상기 서보 모터들 각각의 속도, 방향, 또는 수직 포지션이 조정되는,
    프로세싱 챔버에서 기판 지지부를 프로세싱하는 방법.
  12. 기판 지지 표면을 갖는 페데스탈(pedestal)을 지지하는 지지 부재;
    상기 지지 부재에 부착된 캐리어 플레이트; 및
    포지셔닝 시스템
    을 포함하며,
    상기 포지셔닝 시스템은,
    베이스 플레이트; 및
    상기 베이스 플레이트 및 상기 캐리어 플레이트에 커플링된 2개 이상의 서보 모터 조립체들
    을 포함하고,
    상기 서보 모터 조립체들 각각은 모터 및 선형 액추에이터를 갖는,
    기판 지지 조립체.
  13. 제12 항에 있어서,
    제어기를 더 포함하며,
    각각의 선형 액추에이터는 상기 캐리어 플레이트의 부분에 커플링되고, 그리고 작동 방향을 따라 상기 캐리어 플레이트의 부분을 병진이동(translate)시키도록 구성되고,
    상기 선형 액추에이터들은 샤워헤드의 출력 표면에 대하여 상기 기판 지지 표면의 포지션을 배향시키며, 그리고
    상기 제어기는, 상기 2개 이상의 서보 모터 조립체들로 하여금, 상기 샤워헤드와 상기 페데스탈의 배향이 동일 평면 상에 있지 않도록, 상기 페데스탈의 배향을 조정하게 하도록 구성되는,
    기판 지지 조립체.
  14. 제12 항에 있어서,
    상기 베이스 플레이트와 상기 캐리어 플레이트 사이에 밀봉을 제공하는 가요성 밀봉 부재를 더 포함하며,
    상기 가요성 밀봉 부재는 상기 페데스탈의 상기 지지 부재 주위의 구역을 밀봉하는,
    기판 지지 조립체.
  15. 제12 항에 있어서,
    상기 선형 액추에이터들 각각은 구형 볼 조인트(spherical ball joint)를 통해 상기 캐리어 플레이트에 연결되며,
    상기 선형 액추에이터들 각각은 피벗 조인트(pivot joint)를 통해 상기 베이스 플레이트에 연결되는,
    기판 지지 조립체.
KR1020197012784A 2016-10-03 2017-09-18 동적 레벨링 프로세스 가열기 리프트 KR102270168B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217019342A KR20210079424A (ko) 2016-10-03 2017-09-18 동적 레벨링 프로세스 가열기 리프트

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662403684P 2016-10-03 2016-10-03
US62/403,684 2016-10-03
PCT/US2017/052023 WO2018067297A1 (en) 2016-10-03 2017-09-18 Dynamic leveling process heater lift

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019342A Division KR20210079424A (ko) 2016-10-03 2017-09-18 동적 레벨링 프로세스 가열기 리프트

Publications (2)

Publication Number Publication Date
KR20190049950A true KR20190049950A (ko) 2019-05-09
KR102270168B1 KR102270168B1 (ko) 2021-06-25

Family

ID=61757255

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217019342A KR20210079424A (ko) 2016-10-03 2017-09-18 동적 레벨링 프로세스 가열기 리프트
KR1020197012784A KR102270168B1 (ko) 2016-10-03 2017-09-18 동적 레벨링 프로세스 가열기 리프트

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217019342A KR20210079424A (ko) 2016-10-03 2017-09-18 동적 레벨링 프로세스 가열기 리프트

Country Status (5)

Country Link
US (2) US10249525B2 (ko)
KR (2) KR20210079424A (ko)
CN (2) CN117604506A (ko)
TW (1) TWI747964B (ko)
WO (1) WO2018067297A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200021293A (ko) * 2018-08-20 2020-02-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
WO2021137414A1 (ko) * 2019-12-30 2021-07-08 (주)에이엔에이치 기판 처리장치의 서셉터 오토 레벨링 장치
KR102325102B1 (ko) 2020-06-18 2021-11-11 주식회사 플레이티지 히터 척 오토 레벨링 장치
KR20230056325A (ko) * 2021-10-20 2023-04-27 주식회사 제이엔케이 기판 처리 설비의 레벨링 장치

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9812349B2 (en) * 2015-12-01 2017-11-07 Lam Research Corporation Control of the incidence angle of an ion beam on a substrate
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10604995B2 (en) * 2017-06-22 2020-03-31 Sejong Pharmatech Co., Ltd. Sealing door and method of forming channel
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102581681B1 (ko) 2018-09-05 2023-09-22 삼성전자주식회사 플라즈마 증착 방법 및 플라즈마 증착 장치
SG11202101649WA (en) * 2018-09-28 2021-04-29 Applied Materials Inc Coaxial lift device with dynamic leveling
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
TWI682838B (zh) * 2018-12-14 2020-01-21 上銀科技股份有限公司 連接模組
US10836052B2 (en) 2019-02-06 2020-11-17 Hiwin Technologies Corp. Connection module using in robot
US20220115248A1 (en) * 2019-04-23 2022-04-14 Zeus Co., Ltd. Etching device using etching chamber
FI130051B (en) * 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
US11251067B2 (en) * 2019-04-26 2022-02-15 Applied Materials, Inc. Pedestal lift for semiconductor processing chambers
US20210054497A1 (en) * 2019-08-19 2021-02-25 Oem Group, Llc Systems and methods for a lift and rotate wafer handling process
CN110670127B (zh) * 2019-09-27 2021-03-02 西安奕斯伟硅片技术有限公司 一种晶圆外延设备
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11367632B2 (en) * 2020-05-08 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Heater lift assembly spring damper
JP2022014522A (ja) * 2020-07-07 2022-01-20 東京エレクトロン株式会社 真空処理装置、及び真空処理装置の制御方法
EP3978647A1 (de) * 2020-09-30 2022-04-06 Siltronic AG Verfahren und vorrichtung zum abscheiden einer epitaktischen schicht auf einer substratscheibe aus halbleitermaterial
CN113903703B (zh) * 2021-12-10 2022-04-12 上海陛通半导体能源科技股份有限公司 一种顶针机构水平调节装置
KR20230168803A (ko) 2022-06-08 2023-12-15 주식회사 파인솔루션 기판 처리장치의 오토 레벨링 장치
KR20240003924A (ko) 2022-07-04 2024-01-11 주식회사 파인솔루션 틸팅 구조를 포함한 기판 처리장치의 오토 레벨링 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030044529A1 (en) * 2001-08-29 2003-03-06 Hsiao-Che Wu Method of depositing thin film
KR20040022278A (ko) * 2002-09-03 2004-03-12 삼성전자주식회사 반도체를 제조하기 위한 장치
JP2006324550A (ja) * 2005-05-20 2006-11-30 Sony Corp 素子転写装置、素子転写方法および表示装置の製造方法
US20080084649A1 (en) * 2006-10-10 2008-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method to improve uniformity and reduce local effect of process chamber

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08236421A (ja) * 1995-02-24 1996-09-13 Sony Corp 現像方法及びウエハ揺動装置
US6099712A (en) * 1997-09-30 2000-08-08 Semitool, Inc. Semiconductor plating bowl and method using anode shield
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4182643B2 (ja) * 2001-01-10 2008-11-19 東京エレクトロン株式会社 処理装置及び処理方法
US6613200B2 (en) * 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6770565B2 (en) * 2002-01-08 2004-08-03 Applied Materials Inc. System for planarizing metal conductive layers
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060002269A1 (en) * 2004-06-30 2006-01-05 Eric Bourget Information storage device capable of impairing optical integrity of an optical storage medium thereof
US7075323B2 (en) * 2004-07-29 2006-07-11 Applied Materials, Inc. Large substrate test system
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR20080076341A (ko) * 2007-02-15 2008-08-20 주식회사 아이피에스 샤워헤드 유닛 및 이를 이용하는 박막증착용 반응용기
US20090081365A1 (en) * 2007-09-20 2009-03-26 Cok Ronald S Deposition apparatus for temperature sensitive materials
WO2012134663A2 (en) 2011-03-16 2012-10-04 Applied Materials, Inc Method and apparatus utilizing a single lift mechanism for processing and transfer of substrates
KR20150038406A (ko) * 2012-07-27 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 공정 가스를 기판에 전달하기 위한 방법 및 장치
US9404182B2 (en) * 2012-10-22 2016-08-02 Sensor Electronic Technology, Inc. Multi-wafer reactor
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
KR102090712B1 (ko) * 2013-07-25 2020-03-19 삼성디스플레이 주식회사 박막 증착 장치와, 이를 이용한 증착 방법 및 유기 발광 표시 장치의 제조 방법
US20170346044A1 (en) * 2016-05-27 2017-11-30 Applied Materials, Inc. Evaporation source for organic material, deposition apparatus for depositing organic materials in a vacuum chamber having an evaporation source for organic material, and method for evaporating organic material
EP3080327A1 (en) * 2013-12-10 2016-10-19 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
KR102045384B1 (ko) * 2015-07-13 2019-11-15 어플라이드 머티어리얼스, 인코포레이티드 증발 소스
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030044529A1 (en) * 2001-08-29 2003-03-06 Hsiao-Che Wu Method of depositing thin film
KR20040022278A (ko) * 2002-09-03 2004-03-12 삼성전자주식회사 반도체를 제조하기 위한 장치
JP2006324550A (ja) * 2005-05-20 2006-11-30 Sony Corp 素子転写装置、素子転写方法および表示装置の製造方法
US20080084649A1 (en) * 2006-10-10 2008-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method to improve uniformity and reduce local effect of process chamber

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200021293A (ko) * 2018-08-20 2020-02-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
WO2021137414A1 (ko) * 2019-12-30 2021-07-08 (주)에이엔에이치 기판 처리장치의 서셉터 오토 레벨링 장치
KR102325102B1 (ko) 2020-06-18 2021-11-11 주식회사 플레이티지 히터 척 오토 레벨링 장치
KR20230056325A (ko) * 2021-10-20 2023-04-27 주식회사 제이엔케이 기판 처리 설비의 레벨링 장치

Also Published As

Publication number Publication date
WO2018067297A1 (en) 2018-04-12
CN109791912A (zh) 2019-05-21
US10249525B2 (en) 2019-04-02
TWI747964B (zh) 2021-12-01
CN109791912B (zh) 2023-08-04
KR20210079424A (ko) 2021-06-29
US20180096874A1 (en) 2018-04-05
US10854491B2 (en) 2020-12-01
KR102270168B1 (ko) 2021-06-25
US20190229004A1 (en) 2019-07-25
CN117604506A (zh) 2024-02-27
TW201823505A (zh) 2018-07-01

Similar Documents

Publication Publication Date Title
KR102270168B1 (ko) 동적 레벨링 프로세스 가열기 리프트
JP7471237B2 (ja) 長い運動能力を有する精密な動的レベリング機構
JPS62116764A (ja) 工作物表面へのフイルム折出方法と装置
US20030196754A1 (en) Plasma processing methods and apparatus
JPS61116746A (ja) 半導体ウエハをイオンインプランテ−シヨンする装置及び方法
KR102355932B1 (ko) 기판 처리 장치
US9972523B2 (en) Robot and control method of robot
KR101738986B1 (ko) 리프트 핀 어셈블리를 갖는 기판처리장치
KR20210055088A (ko) 동적 레벨링을 갖는 동축 리프트 디바이스
KR20200000356A (ko) 기판 배치대 및 성막 장치
TW202249148A (zh) 基板支撐組件的液位監測和主動調整
KR20230071954A (ko) 기판처리장치
KR100834116B1 (ko) 반도체 제조 장치의 티칭 방법
JPH0453917A (ja) 試料ステージ
US11524392B2 (en) Minimal contact gripping of thin optical devices
JP2016023720A (ja) 振動制御装置、リソグラフィ装置、および物品の製造方法
KR100731166B1 (ko) 기판 처리장치
WO2000011527A1 (en) Robot motion compensation system
JPH03257914A (ja) ステージ装置
KR20020061999A (ko) 웨이퍼 카셋트 거치용 플랫 폼

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant