KR20190020248A - 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법 - Google Patents

전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법 Download PDF

Info

Publication number
KR20190020248A
KR20190020248A KR1020170104749A KR20170104749A KR20190020248A KR 20190020248 A KR20190020248 A KR 20190020248A KR 1020170104749 A KR1020170104749 A KR 1020170104749A KR 20170104749 A KR20170104749 A KR 20170104749A KR 20190020248 A KR20190020248 A KR 20190020248A
Authority
KR
South Korea
Prior art keywords
precursor
carrier gas
gas
outer container
source
Prior art date
Application number
KR1020170104749A
Other languages
English (en)
Other versions
KR102344996B1 (ko
Inventor
이소영
이현재
김익수
이장희
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170104749A priority Critical patent/KR102344996B1/ko
Priority to US16/030,323 priority patent/US11047045B2/en
Priority to CN201810805363.0A priority patent/CN109411386B/zh
Publication of KR20190020248A publication Critical patent/KR20190020248A/ko
Priority to US17/333,820 priority patent/US11959170B2/en
Application granted granted Critical
Publication of KR102344996B1 publication Critical patent/KR102344996B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01BBOILING; BOILING APPARATUS ; EVAPORATION; EVAPORATION APPARATUS
    • B01B1/00Boiling; Boiling apparatus for physical or chemical purposes ; Evaporation in general
    • B01B1/005Evaporation for physical or chemical purposes; Evaporation apparatus therefor, e.g. evaporation of liquids for gas phase reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber

Abstract

본 발명은 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법을 개시한다. 그의 유닛은, 외부 용기와, 외부 용기와, 상기 외부 용기 내에 배치되고, 전구체 소스를 저장하는 내부 용기와, 상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내에 캐리어 가스를 제공하는 주입구를 갖는 가스 주입 라인과, 상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내의 상기 캐리어 가스와, 상기 전구체 소스로부터 생성된 전구체를 배기하는 배기구를 갖는 가스 배기 라인을 포함한다.

Description

전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법{Unit for supplying precursor, substrate processing apparatus and method for manufacturing semiconductor device using the same}
본 발명은 반도체 소자의 제조 장치 및 그의 제조 방법에 관한 것으로, 보다 상세하게는 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조 방법에 관한 것이다.
반도체 소자의 제조 공정은 박막증착공정(ex, 화학증착공정 또는 원자층증착공정)을 포함할 수 있다. 상기 박막증착공정은 액체 또는 고체의 전구체 소스를 이용하여 수행될 수 있다. 상기 전구체 소스는 증기 상태의 전구체로 기화 및/또는 승화될 수 있다. 상기 전구체는 캐리어 가스에 의해 챔버 내부로 운반될 수 있다. 일반적인 전구체 공급 유닛 내에서, 상기 전구체 소스 상의 소스 소모 공간과, 상기 전구체 이동 공간은 동일할 수 있다. 상기 전구체 소스가 소모되면, 상기 전구체의 공급 압력은 감소될 수 있다. 감소된 공급 압력은 박막의 증착률을 감소시킬 수 있다.
본 발명의 해결 과제는, 액체 또는 고체 전구체 소스의 소모(consummation)에 따른 전구체의 공급 압력의 감소를 방지 및/또는 제거할 수 있는 전구체 공급 유닛 및 기판 처리 장치를 제공하는 데 있다.
그리고 본 발명의 다른 과제는 박막의 증착률의 감소를 방지할 수 있는 반도체 소자의 제조방법을 제공하는 데 있다.
본 발명은 전구체 공급 유닛을 개시한다. 그의 유닛은, 외부 용기; 상기 외부 용기 내에 배치되고, 전구체 소스를 저장하는 내부 용기; 상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내에 캐리어 가스를 제공하는 주입구를 갖는 가스 주입 라인; 및 상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내의 상기 캐리어 가스와, 상기 전구체 소스로부터 생성된 전구체를 배기하는 배기구를 갖는 가스 배기 라인을 포함한다. 여기서, 상기 내부 용기는 상기 캐리어 가스 및 상기 전구체를 통과시키는 구멍들을 갖고, 상기 전구체 소스를 지지하는 하부 막을 포함할 수 있다. 상기 하부 막은 그의 하부 면과 상기 외부 용기의 내부 바닥 사이의 제 1 내부 공간으로 정의되는 전구체 이동 공간을 제공할 수 있다.
본 발명의 일 예에 따른 기판 처리 장치는, 기판을 수납하는 서셉터를 구비한 챔버; 상기 기판 상에 전구체를 제공하는 전구체 공급 유닛; 및 상기 챔버 내에 상기 전구체를 운반하는 캐리어 가스를 상기 전구체 공급 부 내에 제공하는 캐리어 가스 공급 유닛을 포함한다. 여기서, 상기 전구체 공급 유닛은: 외부 용기; 상기 외부 용기 내에 배치되고, 전구체 소스를 저장하는 내부 용기; 상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내에 캐리어 가스를 제공하는 주입구를 갖는 가스 주입 라인; 및 상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내의 상기 캐리어 가스와 상기 전구체를 배기하는 배기구를 갖는 가스 배기 라인을 포함할 수 있다. 상기 내부 용기는 상기 캐리어 가스 및 상기 전구체를 통과시키는 구멍들을 갖고, 상기 전구체 소스를 지지하는 하부 막을 포함할 수 있다. 상기 하부 막은 그의 하부 면과 상기 외부 용기의 내부 바닥 사이의 제 1 내부 공간으로 정의되는 전구체 이동 공간을 제공할 수 있다.
본 발명의 일 예에 따른 반도체 소자의 제조방법은, 챔버 내의 기판 상에 전구체 및 캐리어 가스를 제공하는 단계; 및 상기 전구체와 반응하는 반응 가스를 상기 기판 상에 제공하여 상기 기판 상에 박막을 형성하는 단계를 포함한다. 여기서, 상기 전구체 및 캐리어 가스를 제공하는 단계는: 전구체 소스를 저장하는 내부 용기의 하부 막과 외부 용기의 내부 바닥 사이의 전구체 이동 공간으로 캐리어 가스를 공급하는 단계; 상기 하부 막의 구멍들을 통해 상기 하부 막 상의 상기 전구체 소스에 상기 캐리어 가스를 제공하는 단계; 및 상기 캐리어 가스를 이용하여 상기 내부 용기 내의 상기 전구체 소스의 소모에 따른 상기 캐리어 가스 및 상기 전구체의 공급 압력의 감소 없이 상기 구멍들을 통해 상기 전구체를 획득하는 단계를 포함할 수 있다.
본 발명의 전구체 공급 유닛은 액체 또는 고체의 전구체 소스의 소스 소모 공간과, 전구체 이동 공간을 분리하여 상기 전구체 소스의 소모에 따른 상기 전구체 이동 공간 내의 상기 전구체의 공급 압력 감소를 방지 및/또는 제거할 수 있다. 또한, 상기 공급 압력 감소에 기인하는 박막의 증착률 감소는 방지될 수 있다.
도 1은 본 발명의 개념에 따른 기판 처리 장치를 보여주는 도면이다.
도 2a 및 도 2b는 도 1의 전구체 공급 부의 일 예를 보여주는 단면도이다.
도 3은 도 1의 전구체 공급 부의 일 예를 보여주는 단면도이다.
도 4는 본 발명의 반도체 소자의 제조방법을 보여주는 플로우 차트이다.
도 5는 도 4의 전구체 및 캐리어 가스를 제공하는 단계의 일 예를 보여주는 플로우 차트이다.
도 1은 본 발명의 개념에 따른 기판 처리 장치(100)를 보여준다.
도 1을 참조하면, 본 발명의 기판 처리 장치(100)는 화학기상증착장치 또는 원자층증착장치일 수 있다. 이와 달리, 상기 기판 처리 장치(100)는 기판식각장치일 수 있다. 일 예에 따르면, 상기 기판 처리 장치(100)는 챔버(10), 전구체 공급 부(20), 캐리어 가스 공급 부(30), 반응 가스 공급 부(40), 제 1 내지 제 3 가스 라인(22, 32, 42) 및 제 1 내지 제 3 밸브들(24, 34, 44)을 포함할 수 있다.
상기 챔버(10)는 기판(W)에 대해 외부로부터 밀폐된 공간을 제공할 수 있다. 일 예에 따르면, 상기 챔버(10)는 하우징(12), 서셉터(14) 및 샤워 헤드(16)를 포함할 수 있다. 하우징(12)은 서셉터(14) 및 샤워 헤드(16)를 둘러쌀 수 있다. 하우징(12)은 하부 하우징(11)과 상부 하우징(13)을 포함할 수 있다. 상기 서셉터(14)는 상기 하부 하우징(11) 내에 배치될 수 있다. 상기 하부 하우징(11)이 상기 상부 하우징(13)으로부터 분리되면, 상기 서셉터(14)는 로봇 암(미도시)으로부터 상기 기판(W)을 수납(receive)할 수 있다. 상기 상부 하우징(13)은 상기 하부 하우징(11) 상에 배치될 수 있다. 상기 샤워 헤드(16)는 상기 상부 하우징 내에 배치될 수 있다. 상기 샤워 헤드(16)는 전구체(21), 캐리어 가스(31) 및 반응 가스(41)를 상기 기판(W) 상으로 제공할 수 있다.
상기 전구체 공급 부(20)는 액체 및/또는 고체의 전구체 소스(50)로부터 증기 상태 및/또는 기체 상태의 상기 전구체(21)를 생성할 수 있다. 상기 전구체 소스(50)는 액체의 유기 금속 화합물(ex, TDMAT(Tetrakis(dimethylamido)titanium), 또는 CCTBA(Hexacarbonyl(3,3-dimethyl-1-butyne)dicobalt)) 또는 고체의 화합물(ex, 염화하프늄(HfCl4) PDMAT(Pentakis-dimethylamino Tantalum), 또는 WClx(x=6))을 포함할 수 있으며, 본 발명은 이에 한정되지 않고 다양하게 실시변경 가능할 수 있다. 상기 증기 상태 및/또는 기체 상태의 상기 전구체(21)는 상기 전구체 소스(50)의 분자 크기보다 작은 분자 크기를 가질 수 있다.
상기 캐리어 가스 공급 부(30)는 캐리어 가스(31)를 상기 전구체 공급 부(20) 내에 제공할 수 있다. 캐리어 가스(31)는 불활성 가스(ex, 아르곤 가스 또는 헬륨 가스)를 포함할 수 있다. 상기 캐리어 가스(31)는 상기 전구체 공급 부(20) 내의 상기 전구체(21)를 상기 챔버(10)로 운반(carry) 및/또는 배송(deliver)할 수 있다.
상기 반응 가스 공급 부(40)는 상기 반응 가스(41)를 상기 챔버(10) 내에 제공할 수 있다. 반응 가스(41)는 상기 기판(W) 상의 상기 전구체(21)와 반응하여 상기 기판(W) 상에 박막을 증착할 수 있다. 상기 반응 가스(41)는 수소(H2) 가스 또는 암모니아(NH3) 가스를 포함할 수 있다.
상기 제 1 가스 라인(22)은 전구체 공급 부(20)를 상기 챔버(10)로 연결할 수 있다. 상기 전구체(21) 및 상기 캐리어 가스(31)는 상기 제 1 가스 라인(22)을 통해 상기 챔버(10) 내에 제공될 수 있다. 상기 제 2 가스 라인(32)은 상기 캐리어 가스 공급 부(30)를 상기 전구체 공급 부(20)로 연결할 수 있다. 상기 캐리어 가스(31)는 상기 제 2 가스 라인(32)을 통해 상기 전구체 공급 부(20)로 제공될 수 있다. 그리고 상기 제 3 가스 라인(42)은 상기 반응 가스 공급 부(40)를 상기 챔버(10)로 연결할 수 있다. 상기 반응 가스(41)는 상기 제 3 가스 라인(42)을 통해 상기 챔버(10)로 제공될 수 있다.
상기 제 1 내지 제 3 밸브들(24, 34, 44)은 상기 제 1 내지 제 3 가스 라인들(22, 32, 42)에 각각 체결(coupled)될 수 있다. 상기 제 1 밸브(24)는 상기 챔버(10)와 상기 전구체 공급 부(20) 사이에 배치될 수 있다. 상기 제 1 밸브(24)는 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 유량을 제어할 수 있다. 상기 제 2 밸브(34)는 상기 상기 전구체 공급 부(20)와 상기 캐리어 가스 공급 부(30) 사이에 배치될 수 있다. 상기 제 2 밸브(34)는 상기 캐리어 가스(31)의 공급 유량을 제어할 수 있다. 상기 제 3 밸브(44)는 상기 반응 가스 공급 부(40)와 상기 챔버(10) 사이에 배치될 수 있다. 상기 제 3 밸브(44)는 상기 반응 가스(41)의 공급 유량을 제어할 수 있다.
도시되지 않았지만, 퍼지 가스 공급 부는 상기 챔버(10) 내에 퍼지 가스(ex, N2 가스)를 공급할 수 있다. 상기 전구체(21)와 상기 캐리어 가스(31)가 제공된 후, 상기 퍼지 가스는 상기 챔버(10) 내에 제공될 수 있다. 또한, 상기 반응 가스(41)가 제공된 후 상기 퍼지 가스는 상기 챔버(10) 내에 제공될 수 있다.
한편, 상기 전구체(21)와 상기 캐리어 가스(31)의 공급 압력 및/또는 공급 유량은 상기 기판(W) 상에 형성될 박막의 증착률을 결정할 수 있다. 예를 들어, 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력이 증가하면 상기 박막의 증착률은 증가할 수 있다. 반대로, 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력이 감소하면, 상기 박막의 증착률은 감소할 수 있다.
일반적인 전구체 소스 공급 부 내에서의 전구체 소스의 잔류량이 감소하면, 상기 전구체(21)의 공급 압력이 감소할 수 있다. 반면, 상기 전구체 공급 부(20)는 상기 전구체 소스(50)의 소모 또는/잔류량과 상관 없이 상기 전구체(21)의 공급 압력을 균일하게 제어할 수 있다. 상기 전구체 공급 부(20) 내의 전구체 소스(50)가 모두 소진될 때까지, 상기 박막의 증착률은 일정할 수 있다. 이하, 전구체 공급 부(20)에 대해 상세하게 설명한다.
도 2a 및 도 2b는 도 1의 전구체 공급 부(20)의 일 예를 보여준다.
도 2a를 참조하면, 상기 전구체 공급 부(20)는 캐니스터를 포함할 수 있다. 일 예에 따르면, 상기 전구체 공급 부(20)는 외부 용기(210), 내부 용기(220), 가스 주입 라인(230), 가스 배기 라인(240) 및 외부 히터(250)를 포함할 수 있다.
상기 외부 용기(210)는 상기 내부 용기(220), 상기 가스 주입 라인(230) 및 상기 가스 배기 라인(240)을 수용할 수 있다. 상기 외부 용기(210)는 원통 모양을 가질 수 있다.
상기 내부 용기(220)는 상기 외부 용기(210) 내에 배치될 수 있다. 상기 내부 용기(220)는 액체 및/또는 고체의 상기 전구체 소스(50)를 저장할 수 있다. 상기 내부 용기(220)는 상기 전구체 소스(50)를 밀봉할 수 있다. 상기 내부 용기(220)는 상기 외부 용기(210)의 부피보다 작은 부피의 원통 모양을 가질 수 있다. 일 예에 따르면, 상기 내부 용기(220)는 하부 막(222, supporting membrane) 및 상부 커버(224)를 포함할 수 있다.
상기 하부 막(222)은 상기 외부 용기(210)의 내부 바닥(212)으로부터 이격될 수 있다. 상기 하부 막(222)은 상기 전구체 소스(50)를 지지할 수 있다. 상기 하부 막(222)은 다공성 알루미나, 다공성 티타니아, 또는 다공성 지르코니아를 포함할 수 있다. 상기 하부 막(222)은 복수개의 구멍들(221, pores)을 가질 수 있다. 상기 구멍들(221)를 통하여 상기 전구체(21) 및 상기 캐리어 가스(31)가 통과(is permeable to)할 수 있다. 예를 들어, 상기 전구체(21) 및 상기 캐리어 가스(31)가 약 1nm 이하이 분자 직경 및/또는 크기를 가질 때, 상기 구멍들(221)의 각각은 약 1 nm 내지 약 1㎛의 직경을 가질 수 있다. 상기 구멍들(221)은 액체 및/또는 고체의 상기 전구체 소스(50)를 통과시키지 않을 수 있다. 상기 전구체 소스(50)는 상기 구멍들(221) 상에 존재할 수 있다. 상기 하부 막(222)에 접한 상기 전구체 소스(50)는 상기 캐리어 가스(31)에 의해 상기 전구체(21)로 생성될 수 있다. 상기 전구체 소스(50)는 상기 하부 막(222)의 계면(interface)에서 기화 및/또는 승화될 수 있다.
상기 전구체(21)는 상기 구멍들(221)을 통해 상기 하부 막(222) 아래로 이동할 수 있다. 상기 전구체(21)가 상기 전구체 소스(50)의 분자크기보다 작은 분자 크기를 갖기 때문에 상기 구멍들(221)은 상기 전구체(21)를 통과시킬 수 있다. 상기 전구체(21)와 상기 캐리어 가스(31)는 상기 하부 막(222)의 하부 면과 상기 외부 용기(210)의 내부 바닥(212) 사이의 전구체 이동 공간(202) 내에 존재할 수 있다. 상기 전구체 이동 공간(202)은 상기 하부 막(222)의 하부 면과 상기 외부 용기(210)의 내부 바닥(212) 사이의 내부 공간으로 정의될 수 있다. 또한, 하부 막(222)의 하부 면과, 상기 외부 용기(210)의 내부 바닥(212) 사이의 거리는 전구체 이동 공간(202)의 높이로 정의될 수 있다. 예를 들어, 전구체 이동 공간(202)의 높이는 전구체 소스(50)의 소모량 및/또는 잔류량과 상관없이 항상 일정할 수 있다.
상기 상부 커버(224)는 상기 전구체 소스(50) 및 상기 하부 막(222)을 덮을 수 있다. 상기 상부 커버(224)는 상기 하부 막(222)의 마주보는 양측의 가장자리들에 연결될 수 있다. 상기 상부 커버(224)의 상부 면은 상기 외부 용기(210)의 상부 면과 동일선 상에 배치될 수 있다. 상기 상부 커버(224)의 가장자리와 상기 하부 막(222)의 가장자리는 상기 가스 주입 라인(230)과 상기 가스 배기 라인(240)에 접하거나 고정될 수 있다.
상기 가스 주입 라인(230)은 상기 외부 용기(210)의 일측 내에 배치될 수 있다. 상기 가스 주입 라인(230)은 상기 외부 용기(210) 상의 상기 제 2 밸브(34)로부터 상기 외부 용기(210)의 내부 바닥(212)으로 연장할 수 있다. 상기 가스 주입 라인(230)은 상기 외부 용기(210)의 일측 측벽과 상기 내부 용기(220)의 일측 측벽 사이에 개재될 수 있다. 상기 가스 주입 라인(230)은 상기 외부 용기(210)의 일측 측벽 상에 접하거나 고정될 수 있다. 상기 가스 주입 라인(230)은 주입구(232)를 가질 수 있다. 상기 주입구(232)는 상기 외부 용기(210)의 내부 바닥(212)에 인접한 상기 전구체 이동 공간(202) 내에 배치될 수 있다. 상기 캐리어 가스(31)는 상기 주입구(232)를 통해 상기 전구체 이동 공간(202) 내에 제공될 수 있다. 도시되지는 않았지만, 상기 주입구(232)는 상기 캐리어 가스(31)를 상기 하부 막(222)의 방향으로 제공할 수 있다.
상기 가스 배기 라인(240)은 상기 외부 용기(210)의 타측 내에 배치될 수 있다. 상기 가스 배기 라인(240)은 상기 외부 용기(210) 상의 상기 제 1 밸브(24)로부터 상기 내부 용기(220)의 하부 막(222)의 하부면으로 연장할 수 있다. 상기 가스 배기 라인(240)은 상기 외부 용기(210)의 타측 측벽과 상기 내부 용기(220)의 타측 측벽 사이에 개재될 수 있다. 상기 가스 배기 라인(240)은 상기 외부 용기(210)의 타측 측벽에 접하거나 고정될 수 있다. 상기 가스 배기 라인(240)은 배기구(242)를 가질 수 있다. 상기 배기구(242)는 상기 하부 막(222)에 인접한 상기 전구체 이동 공간(202) 내에 배치될 수 있다. 상기 전구체 이동 공간(202) 내의 상기 전구체(21)와 상기 캐리어 가스(31)는 상기 배기구(242)를 통해 상기 가스 배기 라인(240)으로 배기될 수 있다. 상기 가스 배기 라인(240) 내의 상기 전구체(21)와 상기 캐리어 가스(31)는 상기 챔버(10)로 제공될 수 있다.
상기 외부 히터(250)는 상기 외부 용기(210)의 외주면 상에 배치될 수 있다. 상기 외부 히터(250)는 상기 외부 용기(210)를 가열할 수 있다. 전구체(21)의 생성량은 전구체 소스(50)의 온도에 비례하여 증가할 수 있다. 상기 외부 히터(250)는 상기 외부 히터(250)를 상기 전구체 소스(50)의 기화점 또는 승화점 이하로 가열할 수 있다.
도 2b를 참조하면, 상기 전구체(21)의 누적 공급 량이 증가함에 따라 상기 내부 용기(220) 내의 상기 전구체 소스(50)의 잔류량은 감소할 수 있다. 상기 전구체 소스(50)가 소모되면, 상기 상부 커버(224) 내에 상기 전구체 소스(50)의 소스 소모 공간(204)이 형성될 수 있다. 상기 소스 소모 공간(204)은 상기 전구체 소스(50)의 상부 면과 상기 상부 커버(224)의 상부 내부 면 사이의 내부 공간으로 정의될 수 있다. 상기 전구체 소스(50)의 잔류량이 감소함에 따라 상기 소스 소모 공간(204)은 커지거나 확장될 수 있다.
일 예에 따르면, 상기 하부 막(222)과 상기 전구체 소스(50)는 상기 전구체 이동 공간(202)과 상기 소스 소모 공간(204)을 분리할 수 있다. 상기 소스 소모 공간(204)의 크기는 상기 전구체 소스(50)의 잔류량에 반비례할 수 있다. 상기 전구체 소스(50)의 잔류량이 감소하면, 상기 소스 소모 공간(204)의 크기는 증가할 수 있다. 반면, 상기 전구체 이동 공간(202)의 크기는 상기 전구체 소스(50)의 잔류량과 상관없이 항시 일정할 수 있다. 또한 상기 전구체 이동 공간(202) 내의 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력은 일정할 수 있다. 따라서, 하부 막(222)은 상기 전구체 소스(50)의 소모에 따른 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력의 감소를 방지 및/또는 제거할 수 있다. 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력의 감소에 기인하는 박막의 증착률 감소는 방지될 수 있다. 도시되지는 않았지만, 상기 전구체 소스(50)가 모두 소진되어 없어지면, 상기 하부 막(222)은 상기 전구체 이동 공간(202)과 상기 소스 소모 공간(204)의 경계에 존재할 수 있다. 따라서, 상기 전구체 이동 공간(202)과 상기 소스 소모 공간(204)은 상기 하부 막(222)에 의해 분리될 수 있다.
도 3은 도 1의 전구체 공급 부(20)의 다른 예를 보여준다.
도 3a 및 도 3b를 참조하면, 전구체 공급 부(20)는 내부 히터들(260)을 더 포함할 수 있다. 외부 용기(210), 내부 용기(220), 가스 주입 라인(230) 및 가스 배기 라인(240)은 도 2a 및 도 2b의 구성과 동일할 수 있다.
상기 내부 히터들(260)은 상기 내부 용기(220)의 하부 막(222)의 위 아래에 배치될 수 있다. 예를 들어, 상기 내부 히터들(260)의 각각은 그리드 히터를 포함할 수 있다. 상기 내부 히터들(260)은 상기 하부 막(222)을 가열할 수 있다. 상기 내부 히터들(260) 상기 하부 막(222)에 인접한 상기 전구체 소스(50)를 국부적으로 가열할 수 있다. 상기 내부 히터들(260)은 상기 하부 막(222)의 계면에서의 상기 전구체 소스(50)의 기화 및/또는 승화를 가속시킬 수 있다. 예를 들어, 상기 내부 히터들(260)은 상기 내부 용기(220)의 하부 막(222)의 상부와 하부에 배치될 수 있다. 일 예에 따르면, 상기 내부 히터들(260)은 상부 히터(262)와 하부 히터(264)를 포함할 수 있다.
상기 상부 히터(262)는 상기 하부 막(222)의 상부 면 상에 배치될 수 있다. 상기 상부 히터(262)는 상기 하부 막(222)과 상기 전구체 소스(50) 사이에 배치될 수 있다. 상기 상부 히터(253)는 상기 하부 막(222)에 인접하는 상기 전구체 소스(50)를 직접적 및/또는 국부적으로 가열할 수 있다. 상기 전구체(21)의 생성량은 상기 상부 히터(253)의 가열 온도에 비례하여 증가할 수 있다.
상기 하부 히터(255)는 상기 하부 막(222)의 하부 면 상에 배치될 수 있다. 상기 하부 히터(264)는 상기 하부 막(222) 아래의 상기 캐리어 가스(31)의 대류 속도를 증가시킬 수 있다. 상기 캐리어 가스(31)의 대류 속도가 증가하면, 상기 전구체(21)의 생성량은 증가할 수 있다.
이와 같이 구성된 본 발명의 기판 처리 장치(100)를 이용한 반도체 소자의 제조방법을 설명하면 다음과 같다.
도 4는 본 발명의 반도체 소자의 제조방법(S100)을 보여준다.
도 4를 참조하면, 반도체 소자의 제조방법(S100)은 원자층 증착 방법을 포함할 수 있다. 이와 달리, 반도체 소자의 제조방법(S100)은 화학기상증착 방법 또는 플라즈마 식각 방법을 포함할 수 있다. 일 예에 따르면, 반도체 소자의 제조방법(S100)은, 상기 전구체 공급 부(20) 내에 상기 캐리어 가스(31)를 공급하는 단계(S10), 상기 기판(W) 상에 상기 전구체(21) 및 상기 캐리어 가스(31)를 제공하는 단계(S20), 상기 기판(W) 상에 상기 반응 가스(41)를 제공하는 단계(S30) 및 박막의 두께가 정해진 두께인지를 판별하는 단계(S40)를 포함한다.
먼저, 캐리어 가스 공급 부(30)는 상기 전구체 공급 부(20) 내에 상기 캐리어 가스(31)를 제공한다(S10). 상기 캐리어 가스(31)는 상기 전구체 이동 공간(202) 내에 제공될 수 있다.
다음, 상기 전구체 공급 부(20)는 상기 캐리어 가스(31)와 상기 전구체(21)를 상기 챔버(10) 내의 상기 기판(W) 상에 제공한다(S20). 상기 전구체(21)는 상기 전구체 소스(50)의 소모에 따른 공급 압력의 감소 없이 상기 챔버(10)로 제공될 수 있다.
도 5는 도 4의 전구체(21)와 상기 캐리어 가스(31)를 공급하는 단계(S20)의 일 예를 보여준다.
도 5를 참조하면, 상기 전구체(21)와 상기 캐리어 가스(31)를 제공하는 단계(S20)는 상기 하부 막(222)을 통해 상기 전구체 소스(50)에 상기 캐리어 가스(31)를 제공하는 단계(S22), 상기 캐리어 가스(31)를 이용하여 상기 전구체 소스(50)로부터 상기 전구체(21)를 획득하는 단계(S24) 및 상기 캐리어 가스(31)와 상기 전구체(21)를 배기하는 단계(S26)를 포함할 수 있다.
상기 전구체 공급 부(20)는 상기 가스 주입 라인(230)을 통해 상기 전구체 이동 공간(202) 내에 상기 캐리어 가스(31)를 주입하여 상기 구멍들(221)을 통해 상기 전구체 소스(50)에 상기 캐리어 가스(31)를 제공한다(S22). 상기 캐리어 가스(31)는 액체 또는 고체의 상기 전구체 소스(50)를 기화 및/또는 승화시켜 상기 구멍들(221) 내에 전구체(21)를 생성할 수 있다. 상기 전구체 소스(50)가 소모되면, 상기 내부 용기(220)의 상부 커버(224) 내에 소스 소모 공간(204)이 형성될 수 있다. 상기 전구체 소스(50)의 잔류량이 감소하면, 상기 소스 소모 공간(204)의 크기는 증가할 수 있다.
다음, 상기 전구체 공급 부(20)는 상기 하부 막(222) 아래의 상기 전구체 이동 공간(202)으로 상기 전구체(21)를 획득한다(S24). 상기 전구체(21)는 상기 하부 막(222) 아래의 상기 전구체 이동 공간(202) 내에 유입될 수 있다. 상기 전구체(21) 및 상기 캐리어 가스(31)는 전구체 이동 공간(202) 내에 혼합될 수 있다. 전구체 이동 공간(202)의 크기가 일정하기 때문에 상기 전구체 이동 공간(202) 내의 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력은 일정할 수 있다.
그 다음, 상기 전구체 공급 부(20)는 상기 가스 배기 라인(240)을 통해 상기 캐리어 가스(31) 및 상기 전구체(21)를 공급한다(S26). 상기 전구체(21) 및 상기 캐리어 가스(31)의 공급 압력은 상기 전구체 소스(50)의 소모와 상관없이 항시 일정할 수 있다. 상기 전구체(21)는 상기 챔버(10) 내의 상기 기판(W) 상에 단일 층의 전구체(미도시)를 형성할 수 있다.
도시되지는 않았지만, 상기 챔버(10) 내에 퍼지 가스가 제공될 수 있다. 상기 퍼지 가스는 상기 챔버(10) 내의 상기 전구체(21)를 제거할 수 있다.
도 4를 다시 참조하면, 상기 반응 가스 공급 부(40)는 상기 반응 가스(41)를 상기 기판(W) 상으로 제공한다(S30). 상기 반응 가스(41)는 상기 기판(W) 상의 상기 전구체(21)의 전구체와 반응하여 박막을 증착할 수 있다. 상기 박막은 약 수ÅA 내지 약 수nm의 두께를 갖는 단일 층 및/또는 원자 층을 포함할 수 있다.
도시되지는 않았지만, 상기 챔버(10) 내에 퍼지 가스가 제공될 수 있다. 상기 퍼지 가스는 상기 챔버(10) 내의 상기 반응 가스(41)를 제거할 수 있다.
이후, 제어 부(미도시)는 상기 박막의 두께가 정해진 두께인지를 판별한다(S40). 상기 박막의 두께가 정해진 두께가 아니면, S10의 단계 내지 S40의 단계는 반복적으로 수행될 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시 예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시 예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (20)

  1. 외부 용기;
    상기 외부 용기 내에 배치되고, 전구체 소스를 저장하는 내부 용기;
    상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내에 캐리어 가스를 제공하는 주입구를 갖는 가스 주입 라인; 및
    상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내의 상기 캐리어 가스와, 상기 전구체 소스로부터 생성된 전구체를 배기하는 배기구를 갖는 가스 배기 라인을 포함하되,
    상기 내부 용기는 상기 캐리어 가스 및 상기 전구체를 통과시키는 구멍들을 갖고, 상기 전구체 소스를 지지하는 하부 막을 포함하되,
    상기 하부 막은 그의 하부 면과 상기 외부 용기의 내부 바닥 사이의 제 1 내부 공간으로 정의되는 전구체 이동 공간을 제공하는 전구체 공급 유닛.
  2. 제 1 항에 있어서,
    상기 하부 막은 다공성 알루미나, 다공성 티타니아, 또는 다공성 지르코니아를 포함하는 전구체 공급 유닛.
  3. 제 1 항에 있어서,
    상기 주입구와 상기 배기구는 상기 하부 막과 상기 외부 용기의 내부 바닥 사이에 배치되는 전구체 공급 유닛
  4. 제 3 항에 있어서,
    상기 주입구는 상기 외부 용기의 상기 내부 바닥에 인접하여 배치되되,
    상기 배기구는 상기 하부 막에 인접하여 배치되는 전구체 공급 유닛.
  5. 제 1 항에 있어서,
    상기 하부 막은 상기 전구체 이동 공간으로부터 상기 내부 용기 내부의 상기 전구체 소스 상의 소스 소모 공간을 분리하는 전구체 공급 유닛.
  6. 제 5 항에 있어서,
    상기 내부 용기는 상기 하부 막 상의 상기 전구체 소스를 덮는 상부 커버를 포함하되,
    상기 소스 소모 공간은 상기 전구체 소스의 상부 면과 상기 상부 커버의 상부 내부 면 사이의 제 2 내부 공간으로 정의되는 전구체 공급 유닛.
  7. 제 1 항에 있어서,
    상기 외부 용기의 외부에 배치되어 상기 외부 용기를 가열하는 외부 히터를 더 포함하는 전구체 공급 유닛.
  8. 제 7 항에 있어서,
    상기 외부 용기 내에 배치되고, 상기 하부 막을 가열하는 내부 히터를 더 포함하는 전구체 공급 유닛.
  9. 제 8 항에 있어서,
    상기 내부 히터는 상기 하부 막의 상부면 상에 배치되는 상부 히터를 포함하는 전구체 공급 유닛.
  10. 제 9 항에 있어서,
    상기 내부 히터는 상기 하부 막의 하부 면 상에 배치되는 하부 히터를 더 포함하는 전구체 공급 유닛.
  11. 기판을 수납하는 서셉터를 구비한 챔버;
    상기 기판 상에 전구체를 제공하는 전구체 공급 유닛; 및
    상기 챔버 내에 상기 전구체를 운반하는 캐리어 가스를 상기 전구체 공급 부 내에 제공하는 캐리어 가스 공급 유닛을 포함하되,
    상기 전구체 공급 유닛은:
    외부 용기;
    상기 외부 용기 내에 배치되고, 전구체 소스를 저장하는 내부 용기;
    상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내에 캐리어 가스를 제공하는 주입구를 갖는 가스 주입 라인; 및
    상기 내부 용기 아래의 상기 외부 용기 내에 배치되어 상기 외부 용기 내의 상기 캐리어 가스와 상기 전구체를 배기하는 배기구를 갖는 가스 배기 라인을 포함하되,
    상기 내부 용기는 상기 캐리어 가스 및 상기 전구체를 통과시키는 구멍들을 갖고, 상기 전구체 소스를 지지하는 하부 막을 포함하되,
    상기 하부 막은 그의 하부 면과 상기 외부 용기의 내부 바닥 사이의 제 1 내부 공간으로 정의되는 전구체 이동 공간을 제공하는 기판 제조 장치.
  12. 제 11 항에 있어서,
    상기 전구체 공급 유닛을 상기 챔버로 연결하는 제 1 가스 라인; 및
    상기 제 1 가스 라인에 연결되고, 상기 전구체 및 상기 캐리어 가스의 유량을 제어하는 제 1 밸브를 더 포함하되,
    상기 가스 배기 라인은 상기 제 1 밸브로 연결되는 기판 제조 장치.
  13. 제 12 항에 있어서,
    상기 제 1 밸브는 상기 외부 용기의 일측 상에 배치되되,
    상기 가스 배기 라인은 상기 내부 용기의 일측 측벽과 상기 외부 용기의 일측 측벽 사이에 개재되고, 상기 제 1 밸브로부터 상기 하부 막의 하부 면까지 연장하는 기판 제조장치.
  14. 제 11 항에 있어서,
    상기 캐리어 가스 공급 유닛을 상기 전구체 공급 라인으로 연결하는 제 2 가스 라인; 및
    상기 제 2 가스 라인에 연결되고, 상기 캐리어 가스의 유량을 제어하는 제 2 밸브를 더 포함하되,
    상기 가스 주입 라인은 상기 제 2 밸브로 연결되는 기판 제조 장치.
  15. 제 14 항에 있어서,
    상기 제 2 밸브는 상기 외부 용기의 타측 상에 배치되되,
    상기 가스 주입 라인은 상기 내부 용기의 타측 측벽과 상기 외부 용기의 타측 사이에 개재되고, 상기 제 2 밸브로부터 상기 외부 용기의 내부 바닥 면까지 연장하는 기판 제조 장치.
  16. 챔버 내의 기판 상에 전구체 및 캐리어 가스를 제공하는 단계; 및
    상기 전구체와 반응하는 반응 가스를 상기 기판 상에 제공하여 상기 기판 상에 박막을 형성하는 단계를 포함하되,
    상기 전구체 및 캐리어 가스를 제공하는 단계는:
    전구체 소스를 저장하는 내부 용기의 하부 막과 외부 용기의 내부 바닥 사이의 전구체 이동 공간으로 캐리어 가스를 공급하는 단계;
    상기 하부 막의 구멍들을 통해 상기 하부 막 상의 상기 전구체 소스에 상기 캐리어 가스를 제공하는 단계; 및
    상기 캐리어 가스를 이용하여 상기 내부 용기 내의 상기 전구체 소스의 소모에 따른 상기 캐리어 가스 및 상기 전구체의 공급 압력의 감소 없이 상기 구멍들을 통해 상기 전구체를 획득하는 단계를 포함하는 반도체 소자의 제조방법.
  17. 제 16 항에 있어서,
    상기 하부 막은 상기 캐리어 가스 및 상기 전구체를 통과시키는 구멍들을 갖고, 상기 전구체 소스를 지지하여 상기 전구체 소스 상의 소스 소모 공간과 상기 전구체 이동 공간을 분리하는 반도체 소자의 제조방법.
  18. 제 17 항에 있어서,
    상기 전구체 및 상기 캐리어 가스를 제공하는 단계는 상기 전구체 이동 공간 내의 상기 전구체 및 상기 캐리어 가스를 배기하여 상기 전구체 및 상기 캐리어 가스를 상기 챔버로 공급하는 단계를 더 포함하는 반도체 소자의 제조방법.
  19. 제 16 항에 있어서,
    상기 전구체의 제공 후 또는 상기 반응 가스의 제공 후에 상기 챔버 내에 퍼지 가스를 제공하는 단계를 더 포함하는 반도체 소자의 제조방법.
  20. 제 16 항에 있어서,
    상기 박막을 형성하는 단계는 원자 층을 증착하는 단계를 포함하는 반도체 소자의 제조방법.
KR1020170104749A 2017-08-18 2017-08-18 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법 KR102344996B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020170104749A KR102344996B1 (ko) 2017-08-18 2017-08-18 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US16/030,323 US11047045B2 (en) 2017-08-18 2018-07-09 Precursor supply unit, substrate processing system, and method of fabricating semiconductor device using the same
CN201810805363.0A CN109411386B (zh) 2017-08-18 2018-07-20 前体供应单元、基板处理系统和制造半导体器件的方法
US17/333,820 US11959170B2 (en) 2017-08-18 2021-05-28 Precursor supply unit, substrate processing system, and method of fabricating semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170104749A KR102344996B1 (ko) 2017-08-18 2017-08-18 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법

Publications (2)

Publication Number Publication Date
KR20190020248A true KR20190020248A (ko) 2019-02-28
KR102344996B1 KR102344996B1 (ko) 2021-12-30

Family

ID=65361111

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170104749A KR102344996B1 (ko) 2017-08-18 2017-08-18 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법

Country Status (3)

Country Link
US (2) US11047045B2 (ko)
KR (1) KR102344996B1 (ko)
CN (1) CN109411386B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6901153B2 (ja) 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
FI129734B (en) 2019-04-25 2022-08-15 Beneq Oy Feeding chamber for preform
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
KR20220061200A (ko) * 2019-09-24 2022-05-12 도쿄엘렉트론가부시키가이샤 원료 공급 장치 및 원료 공급 방법
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
US11584990B2 (en) * 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
FI130131B (en) * 2021-09-07 2023-03-09 Picosun Oy Precursor container

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000003332A (ko) * 1998-06-27 2000-01-15 김영환 반도체 화학기상증착장비용 증발기의 용액공급구조
KR20060090676A (ko) * 2003-09-30 2006-08-14 동경 엘렉트론 주식회사 간헐적 전구체 가스 플로우 프로세스를 이용한 금속 층형성 방법
KR20110061284A (ko) * 2009-12-01 2011-06-09 삼성모바일디스플레이주식회사 소스 가스 공급 유닛 및 이를 구비하는 증착 장치
KR20150143158A (ko) * 2014-06-13 2015-12-23 이현식 고체 전구체용 버블러

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1433497A (fr) * 1965-02-16 1966-04-01 Snecma Procédé de dépôt d'une couche protectrice sur une pièce métallique par une méthode en phase vapeur
US3358452A (en) * 1965-10-21 1967-12-19 Gca Corp Valveless rocket motor using subliming solids
US4226829A (en) * 1978-10-04 1980-10-07 Andrew Mike Renewable vaporizer for air treatment and the like
FR2450286A1 (fr) * 1979-02-27 1980-09-26 Armines Procede et dispositif de boruration de pieces en metal
DE3801147A1 (de) * 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
DE4124018C1 (ko) * 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5766458A (en) * 1993-03-12 1998-06-16 Micropyretics Heaters International, Inc. Modulated and regenerative ceramic filter with insitu heating element
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
KR960010901A (ko) * 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
JP3967455B2 (ja) * 1998-03-30 2007-08-29 Dowaホールディングス株式会社 カリウム含有薄膜及びその製法
US7799416B1 (en) * 1998-07-02 2010-09-21 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6063185A (en) * 1998-10-09 2000-05-16 Cree, Inc. Production of bulk single crystals of aluminum nitride, silicon carbide and aluminum nitride: silicon carbide alloy
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
KR100360494B1 (ko) * 1999-09-21 2002-11-13 삼성전자 주식회사 기화장치
DE60106675T2 (de) * 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
JP3909385B2 (ja) * 2001-07-12 2007-04-25 昭和電工株式会社 テトラフルオロシランの製造方法およびその用途
US6701066B2 (en) * 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
JP3744850B2 (ja) * 2001-12-18 2006-02-15 富士通株式会社 半導体装置の製造方法
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP4352783B2 (ja) * 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
KR20050004379A (ko) * 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7780787B2 (en) * 2004-08-11 2010-08-24 First Solar, Inc. Apparatus and method for depositing a material on a substrate
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
FR2878453B1 (fr) * 2004-11-30 2007-03-16 Centre Nat Rech Scient Cnrse Dispositif de fourniture de vapeurs d'un precurseur solide a un appareil de traitement
US7722720B2 (en) * 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) * 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7625601B2 (en) * 2005-02-04 2009-12-01 Eastman Kodak Company Controllably feeding organic material in making OLEDs
EP1866074A4 (en) * 2005-03-16 2017-01-04 Entegris Inc. System for delivery of reagents from solid sources thereof
JP4894153B2 (ja) * 2005-03-23 2012-03-14 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7345184B2 (en) * 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
JP4317174B2 (ja) * 2005-09-21 2009-08-19 東京エレクトロン株式会社 原料供給装置および成膜装置
KR100653313B1 (ko) 2005-11-10 2006-12-05 (주)지오엘리먼트 약품보관 용기
GB2432371B (en) * 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
US8673413B2 (en) * 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US20070218200A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US7967911B2 (en) 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
JP5030478B2 (ja) * 2006-06-02 2012-09-19 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US7638182B2 (en) * 2006-07-28 2009-12-29 Ut-Battelle, Llc Method for producing microchannels in drawn material
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8708320B2 (en) * 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
KR101324290B1 (ko) * 2007-02-28 2013-11-01 주성엔지니어링(주) 기판제조장치의 원료공급장치
US7955569B2 (en) * 2007-03-14 2011-06-07 Hubert Patrovsky Metal halide reactor for CVD and method
US8357214B2 (en) * 2007-04-26 2013-01-22 Trulite, Inc. Apparatus, system, and method for generating a gas from solid reactant pouches
JP2009084625A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 原料ガスの供給システム及び成膜装置
JP2009138014A (ja) * 2007-12-03 2009-06-25 Toyota Central R&D Labs Inc ナノ構造材料の製造方法
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5257197B2 (ja) * 2008-03-31 2013-08-07 住友化学株式会社 有機金属化合物供給装置
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
AT507187B1 (de) * 2008-10-23 2010-03-15 Helmut Dr Buchberger Inhalator
CN101525743B (zh) * 2009-04-23 2011-06-15 浙江嘉远格隆能源股份有限公司 一种采用近空间升华技术在衬底沉积形成半导体薄膜的方法和装置
EP3922751A1 (en) * 2009-11-02 2021-12-15 Sigma-Aldrich Co. LLC Solid precursor delivery assemblies and related methods
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8758515B2 (en) * 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
KR101098359B1 (ko) 2010-08-11 2011-12-23 주식회사 마이크로이즈 반도체 공정용 기화장치
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US20130105483A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Apparatus for sublimating solid state precursors
JP6199744B2 (ja) * 2011-12-20 2017-09-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および気化装置
EP2809822A1 (en) * 2012-01-31 2014-12-10 First Solar, Inc Integrated vapor transport deposition method and system
JP5874469B2 (ja) * 2012-03-19 2016-03-02 東京エレクトロン株式会社 トラップ装置及び成膜装置
KR101389011B1 (ko) * 2012-03-28 2014-04-24 주식회사 유니텍스 소스 컨테이너 및 기상 증착용 반응로
US9598766B2 (en) * 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
WO2013181521A2 (en) * 2012-05-31 2013-12-05 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US20140096284A1 (en) * 2012-10-01 2014-04-03 Iowa State University Research Foundation, Inc. Method for the delivery of molecules lyophilized onto microparticles to plant tissues
US9308501B2 (en) * 2012-11-01 2016-04-12 Ut-Battelle, Llc Super-surface selective nanomembranes providing simultaneous high permeation flux and high selectivity
KR101436936B1 (ko) * 2013-06-05 2014-09-03 한국기계연구원 고체 암모늄염 반응기, 그 제어방법 및 고체 암모늄염과 선택적 환원촉매를 이용한 질소산화물 정화시스템
US9856269B2 (en) * 2013-09-02 2018-01-02 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for producing pyromellitic dianhydride, pyromellitic dianhydride produced by the method, and apparatus therefor
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
WO2015164029A1 (en) * 2014-04-21 2015-10-29 Entegris, Inc. Solid vaporizer
US10753010B2 (en) * 2014-09-25 2020-08-25 Pallidus, Inc. Vapor deposition apparatus and techniques using high puritiy polymer derived silicon carbide
KR20160123438A (ko) * 2015-04-15 2016-10-26 삼성디스플레이 주식회사 유기 발광 디스플레이 장치용 증착원
KR20170119360A (ko) * 2016-04-18 2017-10-27 삼성전자주식회사 고체 소스 공급 유닛, 가스 공급 유닛, 그리고 기판 처리 방법
KR20190072266A (ko) * 2017-12-15 2019-06-25 삼성전자주식회사 소스 가스 공급 장치 및 이를 구비하는 증착 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000003332A (ko) * 1998-06-27 2000-01-15 김영환 반도체 화학기상증착장비용 증발기의 용액공급구조
KR20060090676A (ko) * 2003-09-30 2006-08-14 동경 엘렉트론 주식회사 간헐적 전구체 가스 플로우 프로세스를 이용한 금속 층형성 방법
KR20110061284A (ko) * 2009-12-01 2011-06-09 삼성모바일디스플레이주식회사 소스 가스 공급 유닛 및 이를 구비하는 증착 장치
KR20150143158A (ko) * 2014-06-13 2015-12-23 이현식 고체 전구체용 버블러

Also Published As

Publication number Publication date
US20190055649A1 (en) 2019-02-21
CN109411386B (zh) 2023-04-07
CN109411386A (zh) 2019-03-01
US11047045B2 (en) 2021-06-29
US11959170B2 (en) 2024-04-16
US20210292900A1 (en) 2021-09-23
KR102344996B1 (ko) 2021-12-30

Similar Documents

Publication Publication Date Title
KR102344996B1 (ko) 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US11851755B2 (en) Sequential infiltration synthesis apparatus and a method of forming a patterned structure
JP6022638B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
KR102133625B1 (ko) 텅스텐 막의 성막 방법
JP6245643B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2020528496A (ja) 化学的堆積、処理および/または浸透装置およびその使用方法
US20160097121A1 (en) Multiple vapor sources for vapor deposition
US20150221529A1 (en) Gas supply method and thermal treatment method
JP6554418B2 (ja) タングステン膜の成膜方法および成膜装置
US20060086319A1 (en) Processing gas supply mechanism, film forming apparatus and method, and computer storage medium storing program for controlling same
KR20150112804A (ko) 가스 공급 기구 및 가스 공급 방법, 및 그것을 사용한 성막 장치 및 성막 방법
KR20080026510A (ko) 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
TW201404932A (zh) 氣體供應設備及成膜設備
JP5109299B2 (ja) 成膜方法
KR20100010906A (ko) 기판 처리 장치
US8211500B2 (en) Copper film deposition method
JP6964473B2 (ja) ガス供給装置及び成膜装置
JP2004047887A (ja) 枚葉式cvd装置
JP2013145796A (ja) TiSiN膜の成膜方法および記憶媒体
KR20210128914A (ko) 원료 공급 장치 및 성막 장치
JP2013147708A (ja) TiSiN膜の成膜方法および記憶媒体
JP2013076113A (ja) ガス供給装置及び成膜装置
KR101349423B1 (ko) Cu막의 성막 방법
JP5656683B2 (ja) 成膜方法および記憶媒体
KR20240036899A (ko) 파티클 생성 방지용 보호막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right