CN109411386A - 前体供应单元、基板处理系统和制造半导体器件的方法 - Google Patents

前体供应单元、基板处理系统和制造半导体器件的方法 Download PDF

Info

Publication number
CN109411386A
CN109411386A CN201810805363.0A CN201810805363A CN109411386A CN 109411386 A CN109411386 A CN 109411386A CN 201810805363 A CN201810805363 A CN 201810805363A CN 109411386 A CN109411386 A CN 109411386A
Authority
CN
China
Prior art keywords
precursor
gas
carrier gas
supply unit
support membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810805363.0A
Other languages
English (en)
Other versions
CN109411386B (zh
Inventor
李昭荣
李现宰
金益秀
李章熙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109411386A publication Critical patent/CN109411386A/zh
Application granted granted Critical
Publication of CN109411386B publication Critical patent/CN109411386B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01BBOILING; BOILING APPARATUS ; EVAPORATION; EVAPORATION APPARATUS
    • B01B1/00Boiling; Boiling apparatus for physical or chemical purposes ; Evaporation in general
    • B01B1/005Evaporation for physical or chemical purposes; Evaporation apparatus therefor, e.g. evaporation of liquids for gas phase reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber

Abstract

提供了前体供应单元、基板处理系统和制造半导体器件的方法。所述前体供应单元可包括:外部容器;内部容器,设置在所述外部容器中并且用于储存前体源;具有注入口的气体注入线路,用于将载气提供到所述外部容器中;以及具有排放口的气体排放线路,用于排放所述外部容器中的所述载气和从所述前体源产生的前体。

Description

前体供应单元、基板处理系统和制造半导体器件的方法
相关申请的交叉引用
本申请要求于2017年8月18日在韩国知识产权局提交的第10-2017-0104749号韩国专利申请的优先权,该申请的全部内容通过引用并入此。
技术领域
本公开涉及制造半导体器件的系统和方法,更具体地涉及前体供应单元、基板处理系统和使用其制造半导体器件的方法。
背景技术
通常,制造半导体器件的工艺包括使用例如化学气相沉积(CVD)方法或原子层沉积(ALD)方法沉积薄膜的工艺。在薄膜沉积工艺中,使用液态或固态的前体源。液体或固体前体源被汽化或升华,以形成汽化或气态前体。前体被载气输送到腔室中。在普通的前体供应单元中,前体源上的源消耗空间会与前体通道空间相同。如果前体源被消耗,则前体的供应压力会降低。如果供应压力降低,则薄膜的沉积速率降低。
发明内容
本发明构思的一些实施例提供了被配置为防止或抑制当液体或固体前体源被消耗时可能出现的气态前体的供应压力下降的前体供应单元和/或处理系统。
本发明构思的一些实施例提供了防止沉积速率降低的方法以及使用其制造半导体器件的方法。
根据本发明构思的一些实施例,一种前体供应单元可包括:外部容器;内部容器,设置在所述外部容器中并且用于储存前体源;具有注入口的气体注入线路,用于将载气提供到所述外部容器中;以及具有排放口的气体排放线路,用于排放所述外部容器中的所述载气和从所述前体源产生的前体。所述内部容器可包括支撑所述前体源的支撑膜。所述支撑膜可具有对于所述载气和所述前体而言能渗透的孔。所述支撑膜提供由所述外部容器的内底部和所述支撑膜的底表面之间的第一内部空间限定的前体通道空间。
根据本发明构思的一些实施例,一种基板处理系统可包括:包括基座的腔室,被配置为接纳基板;前体供应单元,将前体供应到所述基板上;以及载气供应单元,将载气供应到所述前体供应单元中,所述载气用于传输所述腔室中的所述前体。所述前体供应单元可包括:外部容器;内部容器,设置在所述外部容器中并且用于储存前体源;具有注入口的气体注入线路,用于将载气提供到所述外部容器中;以及具有排放口的气体排放线路,用于提供所述外部容器中的所述载气以及所述前体。所述内部容器可包括支撑所述前体源的支撑膜。所述支撑膜可具有对于所述载气和所述前体而言能渗透的孔。所述支撑膜提供由所述外部容器的内底部和所述支撑膜的底表面之间的第一内部空间限定的前体通道空间。
根据本发明构思的一些实施例,一种制造半导体器件的方法可包括:在腔室中的基板上提供前体和载气;以及在所述基板上提供能够与所述前体反应的反应气体,以在所述基板上形成薄膜。提供前体和载气可包括:将载气供应到内部容器的支撑膜与外部容器的内部底表面之间的前体通道空间中,所述内部容器容纳前体源;将所述载气通过所述支撑膜的孔提供到所述支撑膜上的所述前体源;以及使用所述载气通过所述孔获得所述前体,同时所述载气和所述前体的供应压力没有根据所述内部容器中的所述前体源的消耗而减小。
根据本发明构思的一些实施例,源消耗空间和气体通道空间可彼此分离,因此能够防止前体气体和载气的供应压力降低。
附图说明
根据下面结合附图进行的简要描述,将更清楚地理解示例实施例。附图表示如本文中描述的非限制的示例实施例。
图1是示出根据本发明构思的一些实施例的基板处理系统的示图。
图2A和图2B是示出图1的前体供应单元的示例的截面图。
图3是示出图1的前体供应单元的示例的截面图。
图4是示出根据本发明构思的一些实施例的制造半导体器件的方法的流程图。
图5是示出图4中示出的提供前体和载气的步骤的示例的流程图。
具体实施方式
图1示出了根据本发明构思的一些实施例的基板处理系统100。
参照图1,基板处理系统100可以是化学气相沉积(CVD)系统或原子层沉积(ALD)系统。或者,基板处理系统100可以是基板蚀刻系统。在一些实施例中,基板处理系统100可包括腔室10、前体供应单元20、载气供应单元30、反应气体供应单元40、第一气体线路22、第二气体线路32和第三气体线路42以及第一阀24、第二阀34和第三阀44。
腔室10可提供用于将基板W与外部隔离的空间。在一些实施例中,腔室10可包括壳体12、基座14和喷头16。壳体12可设置成包围基座14和喷头16。壳体12可包括下壳体11和上壳体13。基座14可设置在下壳体11中。如果下壳体11与上壳体13分离,则可使用机器人手臂(未示出)将基板W装载到基座14上或者从基座14卸载基板W。上壳体13可设置在下壳体11上。喷头16可设置在上壳体中。喷头16可用于将前体21、载气31和反应气体41供应到基板W上。
前体供应单元20可被配置为从液体和/或固体前体源50产生汽化或气态前体21。前体源50可包括液体有机金属化合物(例如,四(二甲氨基)钛(TDMAT)或六羰基(3,3-二甲基-1-丁炔)二钴(CCTBA))或固体化合物(例如,氯化铪(HfCl4)、五-二甲基氨基钽(PDMAT)或WClx(x=6))中的至少一种,但是本发明构思不限于此。汽化或气态前体21的分子尺寸可小于前体源50的分子尺寸。
载气供应单元30可用于将载气31提供到前体供应单元20中。载气31可包括不活泼气体或惰性气体(例如,氩气或氦气)。载气31可用于将前体供应单元20中的前体21携载和/或输送到腔室10中。
反应气体供应单元40可被配置为将反应气体41供应到腔室10中。反应气体41可与基板W上的前体21反应,以形成沉积在基板W上的薄膜。反应气体41可包括氢气(H2)或氨气(NH3)。
第一气体线路22可被配置为将前体供应单元20连接到腔室10。前体21和载气31可通过第一气体线路22被供应到腔室10中。第二气体线路32可被配置为将载气供应单元30连接到前体供应单元20。载气31可通过第二气体线路32被供应到前体供应单元20中。第三气体线路42可被配置为将反应气体供应单元40连接到腔室10。反应气体41可通过第三气体线路42被供应到腔室10中。
第一阀24、第二阀34和第三阀44可分别与第一气体线路22、第二气体线路32和第三气体线路42联接。第一阀24可设置在腔室10和前体供应单元20之间。第一阀24可用于控制前体21和载气31的供应流量。第二阀34可设置在前体供应单元20和载气供应单元30之间。第二阀34可用于控制载气31的供应流量。第三阀44可设置在反应气体供应单元40和腔室10之间。第三阀44可用于控制反应气体41的供应流量。
虽然未示出,但是吹扫气体供应单元可被配置为将吹扫气体(例如,氮气(N2))供应到腔室10中。可在供应了前体21和载气31之后,将吹扫气体供应到腔室10中。另外,也可在供应了反应气体41之后,将吹扫气体供应到腔室10中。
可通过前体21和载气31的供应压力和/或供应流量来确定待形成在基板W上的薄膜的沉积速率。例如,如果前体21和载气31的供应压力升高,则薄膜的沉积速率会增大。相反,如果前体21和载气31的供应压力降低,则薄膜的沉积速率会减小。
在一般的前体源供应单元中,如果前体源的剩余量减少,则前体21的供应压力会降低。相比之下,前体供应单元20可被配置为均匀地控制前体21的供应压力,而不管前体源50的消耗量或剩余量如何。薄膜的沉积速率可被控制成是恒定的,直到前体供应单元20中的前体源50耗尽。以下,将在下面更详细地描述前体供应单元20。
图2A和图2B示出了图1的前体供应单元20的示例。
参照图2A,前体供应单元20可包括罐。在一些实施例中,前体供应单元20可包括外部容器210、内部容器220、气体注入线路230、气体排放线路240和外部加热器250。
外部容器210可被配置为在其中容纳内部容器220、气体注入线路230和气体排放线路240。外部容器210可具有圆柱形形状。
内部容器220可设置在外部容器210中。内部容器220可用于储存以液态或固态提供的前体源50。内部容器220可被配置为密封前体源50。内部容器220可以是体积小于外部容器210的体积的圆柱形结构。在一些实施例中,内部容器220可包括支撑膜222和上盖224。
支撑膜222可与外部容器210的内底部212分隔开。支撑膜222可被配置为支撑前体源50。支撑膜222可由多孔氧化铝、多孔二氧化钛或多孔氧化锆形成或者包括多孔氧化铝、多孔二氧化钛或多孔氧化锆。支撑膜222可具有多个孔221。孔221对于前体21和载气31而言是可渗透的。例如,前体21和载气31可具有大约1nm或更小的分子直径或尺寸,并且每个孔221可具有大约1nm至大约1μm的直径。孔221对于液态或固态的前体源50而言不可以是可渗透的。前体源50可设置在孔221上或存在于孔221上。通过载气31,可以从与支撑构件222接触的前体源50产生前体21。前体源50可在支撑膜222的界面处汽化和/或升华。
前体21可通过孔221移动到位于支撑膜222下方的空间中。由于前体21的分子尺寸小于前体源50的分子尺寸,因此前体21可穿过孔221。前体21和载气31可位于前体通道空间202中,前体通道空间202设置在支撑膜222的底表面和外部容器210的内底部212之间。前体通道空间202可被定义为支撑膜222的底表面和外部容器210的内底部212之间的内部空间。另外,支撑膜222的底表面与外部容器210的内底部212之间的距离可被定义为前体通道空间202的高度。例如,前体通道空间202的高度可不改变,不管前体源50的消耗量或剩余量如何。
上盖224可被设置成覆盖前体源50和支撑膜222。上盖224可连接到支撑膜222的相对边缘。上盖224可以以其顶表面与外部容器210的顶表面共面的方式来设置。上盖224的边缘和支撑膜222的边缘可与气体注入线路230和气体排放线路240接触或者被紧固到气体注入线路230和气体排放线路240。
气体注入线路230可设置在外部容器210的第一侧部分中。气体注入线路230可从设置在外部容器210上的第二阀34朝向外部容器210的内底部212延伸。气体注入线路230可设置在外部容器210的第一侧部分的侧表面和内部容器220的第一侧部分的侧表面之间。气体注入线路230可与外部容器210的第一侧部分的侧表面接触或者被紧固到外部容器210的第一侧部分的侧表面。气体注入线路230可具有注入口232。注入口232可位于前体通道空间202中,前体通道空间202与外部容器210的内底部212相邻地设置。载气31可通过注入口232被提供到前体通道空间202中。虽然未示出,但是注入口232可被配置为将载气31朝向支撑膜222提供。
气体排放线路240可设置在外部容器210的与其第一侧部分相对的第二侧部分中。气体排放线路240可从设置在外部容器210上的第一阀24朝向内部容器220的支撑膜222的底表面延伸。气体排放线路240可设置在外部容器210的第二侧部分的侧表面和内部容器220的与其第一侧部分相对的第二侧部分的侧表面之间。气体排放线路240可与外部容器210的第二侧部分的侧表面接触或者被紧固到外部容器210的第二侧部分的侧表面。气体排放线路240可具有排放口242。排放口242可位于与支撑膜222相邻地设置的前体通道空间202中。前体通道空间202中的前体21和载气31可以通过排放口242排放到气体排放线路240。气体排放线路240中的前体21和载气31可被提供到腔室10中。
外部加热器250可位于外部容器210的外周表面上。外部加热器250可用于对外部容器210进行加热。前体21的形成量可与前体源50的温度成比例地增加。外部加热器250可用于将外部容器210加热到比前体源50的汽化或升华温度高的温度。
参照图2B,随着前体21的累积供应量增加,留在内部容器220中的前体源50的量会减少。如果前体源50被消耗,则可在上盖224中形成源消耗空间204。源消耗空间204可以是限定在前体源50的顶表面和上盖224的内部顶表面之间的内部空间。随着前体源50的剩余量减少,源消耗空间204会增大或扩大。
在一些实施例中,支撑膜222和前体源50可以将前体通道空间202与源消耗空间204分开。源消耗空间204的尺寸可与前体源50的剩余量成反比。如果前体源50的剩余量减少,则源消耗空间204的尺寸会增大。相比之下,前体通道空间202的尺寸可以是恒定的,而不管前体源50的剩余量如何。另外,前体通道空间202中的前体21和载气31的供应压力可以是恒定的。因此,支撑膜222可防止或抑制因前体源50的消耗而引起的前体21和载气31的供应压力的降低。能够防止当前体21和载气31的供应压力降低时可能出现的薄膜沉积速率的降低。虽然未示出,但是如果全部前体源50被耗尽,则支撑膜222可位于前体通道空间202和源消耗空间204之间的界面处。因此,前体通道空间202和源消耗空间204可通过支撑膜222彼此分隔开。
图3示出了图1的前体供应单元20的另一个示例。
参照图3,前体供应单元20还可包括内部加热器260。外部容器210、内部容器220、气体注入线路230和气体排放线路240可被配置为具有与图2A和图2B的特征基本相同的特征。
内部加热器260可设置在内部容器220的支撑膜222上且在内部容器220的支撑膜222下方。例如,每个内部加热器260可包括网格形加热器。内部加热器260可被配置为加热支撑膜222。内部加热器260可被配置为对前体源50的与支撑膜222相邻的部分进行局部加热。可通过内部加热器260来加速前体源50在支撑膜222的界面处的汽化或升华。例如,内部加热器260可位于内部容器220的支撑膜222上且位于内部容器220的支撑膜222下方。在一些实施方式中,内部加热器260可包括上加热器262和下加热器264。
上加热器262可设置在支撑膜222的顶表面上。上加热器262可位于支撑膜222和前体源50之间。上加热器262可用于对与支撑膜222相邻的前体源50直接和/或局部进行加热。将产生的前体21的量可与上加热器262的加热温度成比例地增加。
下加热器264可设置在支撑膜222的底表面上。下加热器264可用于提高在支撑膜222下方穿过的载气31的对流速度。载气31的对流速度越高,将产生的前体21的量越多。
如以下将描述的,可在制造半导体器件的工艺中使用基板处理系统100。
图4是示出根据本发明构思的一些实施例的制造半导体器件的方法的流程图。
参照图4,制造半导体器件的工艺S100可包括ALD方法。或者,制造方法S100可包括CVD方法或等离子体蚀刻方法。在一些实施例中,制造工艺S100可包括:在前体供应单元20中供应载气31(在S10中);在基板W上提供前体21和载气31(在S20中);在基板W上提供反应气体41(在S30中);以及检验薄膜的厚度是否在预定的厚度范围内(在S40中)。如若不然,可重复更早的工艺步骤。
载气供应单元30可将载气31提供到前体供应单元20中(在S10中)。载气31可被提供到前体通道空间202中。
接下来,前体供应单元20可被配置为将载气31和前体21提供到腔室10中的基板W上(在S20中)。可以在不存在因前体源50的消耗而将引起的供应压力的降低的情况下,将前体21提供到腔室10中。
图5是示出图4中示出的供应前体21和载气31的步骤S20的示例的流程图。
参照图5,供应前体21和载气31的步骤S20可包括:将载气31通过支撑膜222提供到前体源50中(在S22中)、使用载气31从前体源50获得前体21(在S24中)以及供应载气31和前体21(在S26中)。
前体供应单元20可被配置为将载气31通过气体注入线路230注入到前体通道空间202中,并且将载气31通过孔221提供到前体源50(在S22中)。处于液态或固态的前体源50可因载气31而汽化或升华,因此可在孔221中产生前体21。如果前体源50被消耗,则可在内部容器220的上盖224中形成源消耗空间204。如果前体源50的剩余量减少,则源消耗空间204的尺寸会增大。
接下来,前体供应单元20可被配置为在位于支撑膜222下方的前体通道空间202中获得前体21(在S24中)。前体21可渗透到位于支撑膜222下方的前体通道空间202中。前体21和载气31可在前体通道空间202中彼此混合。由于前体通道空间202具有始终如一的尺寸,因此在前体通道空间202中,前体21和载气31的供应压力可以是恒定的。
接下来,前体供应单元20可将载气31和前体21通过气体排放线路240供应到腔室10(在S26中)。前体21和载气31的供应压力可以恒定地保持,而不管前体源50的消耗如何。前体21可用于在腔室10中的基板W上形成单个前体层(未示出)。
虽然未示出,但是可将吹扫气体提供到腔室10中。吹扫气体可用于从腔室10去除前体21。
返回参照图4,反应气体供应单元40可将反应气体41供应到基板W上(在S30中)。反应气体41可与基板W上的前体21反应,由此形成沉积在基板W上的薄膜。薄膜可包括厚度范围从大约若干埃至大约若干纳米的单层和/或原子层。
虽然未示出,但是可将吹扫气体提供到腔室10中。吹扫气体可用于从腔室10去除反应气体41。
接下来,控制器(未示出)可检查薄膜的厚度是否在预定的厚度范围内(在S40中)。如果薄膜的厚度不在预定的厚度范围内,则可重复步骤S10至S40。
根据本发明构思的一些实施例,在前体供应单元中,在其中消耗液体或固体前体源的源消耗空间与前体通道空间分离,这能够抑制或防止由前体源的消耗引起的在前体通道空间中的前体的供应压力的降低。另外,能够防止可由供应压力的降低引起的薄膜沉积速率的降低。
虽然已经具体地示出和描述了本发明构思的示例实施例,但是本领域的普通技术人员应该理解,在不脱离随附权利要求书的精神和范围的情况下,可在其中进行形式和细节上的变化。

Claims (20)

1.一种前体供应单元,所述前体供应单元包括:
外部容器;
内部容器,设置在所述外部容器中并且能够储存前体源;
具有注入口的气体注入线路,用于将载气提供到所述外部容器中;以及
具有排放口的气体排放线路,用于排放所述外部容器中的所述载气和从所述前体源产生的前体,
其中,所述内部容器包括支撑膜,所述支撑膜支撑所述前体源并且具有对于所述载气和所述前体而言可渗透的孔,并且
其中,所述支撑膜提供由所述外部容器的内底部和所述支撑膜的底表面之间的第一内部空间限定的前体通道空间。
2.根据权利要求1所述的前体供应单元,其中,所述支撑膜包括多孔氧化铝、多孔二氧化钛或多孔氧化锆。
3.根据权利要求1所述的前体供应单元,其中,所述注入口和所述排放口设置在所述支撑膜与所述外部容器的内底部之间。
4.根据权利要求3所述的前体供应单元,其中,所述注入口设置为与所述外部容器的所述内底部相邻,并且
所述排放口相邻于所述支撑膜设置。
5.根据权利要求1所述的前体供应单元,其中,所述支撑膜被设置成将限定在所述内部容器中且在所述前体源上的源消耗空间与所述前体通道空间分离。
6.根据权利要求5所述的前体供应单元,其中,所述内部容器包括在所述支撑膜上覆盖所述前体源的上盖,并且
所述源消耗空间是限定在所述前体源的顶表面和所述上盖的内部顶表面之间的第二内部空间。
7.根据权利要求1所述的前体供应单元,所述前体供应单元还包括设置在所述外部容器外部的外部加热器。
8.根据权利要求7所述的前体供应单元,所述前体供应单元还包括设置在所述外部容器中的内部加热器。
9.根据权利要求8所述的前体供应单元,其中,所述内部加热器包括设置在所述支撑膜的顶表面上的上加热元件。
10.根据权利要求9所述的前体供应单元,其中,所述内部加热器还包括设置在所述支撑膜的底表面上的下加热元件。
11.一种基板处理系统,所述基板处理系统包括:
包括基座的腔室,被配置为接纳基板;
前体供应单元,将前体供应到所述基板上;以及
载气供应单元,将载气供应到所述前体供应单元中,所述载气用于运载所述腔室中的所述前体,
其中,所述前体供应单元包括:
外部容器;
内部容器,设置在所述外部容器中并且能够储存前体源;
具有注入口的气体注入线路,用于将载气提供到所述外部容器中;以及
具有排放口的气体排放线路,用于排放所述外部容器中的所述载气以及所述前体,
其中,所述内部容器包括支撑膜,所述支撑膜支撑所述前体源并且具有对于所述载气和所述前体而言能渗透的孔,并且
其中,所述支撑膜提供由所述外部容器的内底部和所述支撑膜的底表面之间的第一内部空间限定的前体通道空间。
12.根据权利要求11所述的基板处理系统,所述基板处理系统还包括:
第一气体线路,将所述前体供应单元连接到所述腔室;以及
第一阀,与所述第一气体线路连接,以控制所述前体和所述载气的流量,
其中,所述气体排放线路连接到所述第一阀。
13.根据权利要求12所述的基板处理系统,其中,所述第一阀设置在所述外部容器的第一侧处,并且
所述气体排放线路设置在所述内部容器的第一侧表面与所述外部容器的第一侧表面之间,并且从所述第一阀延伸到所述支撑膜的底表面。
14.根据权利要求11所述的基板处理系统,所述基板处理系统还包括:
第二气体线路,将所述载气供应单元连接到所述前体供应单元;以及
第二阀,连接到所述第二气体线路并且用于控制所述载气的流量,
其中,所述气体注入线路连接到所述第二阀。
15.根据权利要求14所述的基板处理系统,其中,所述第二阀设置在所述外部容器的与其第一侧相对的第二侧处,并且
所述气体注入线路设置在所述内部容器的第二侧表面和所述外部容器的第二侧表面之间,并且从所述第二阀延伸到所述外部容器的内部底表面,所述内部容器的所述第二侧表面与所述内部容器的所述第一侧表面相对,并且所述外部容器的所述第二侧表面与所述外部容器的所述第一侧表面相对。
16.一种制造半导体器件的方法,所述方法包括:
在腔室中的基板上提供前体和载气;以及
在所述基板上提供与所述前体反应的反应气体,以通过与所述前体反应在所述基板上形成薄膜,
其中,提供前体和载气包括:
将载气提供到内部容器的支撑膜与外部容器的内部底表面之间的前体通道空间中,所述内部容器容纳前体源;
将所述载气通过所述支撑膜的孔提供到所述支撑膜上的所述前体源;以及
使用所述载气通过所述孔获得所述前体,同时所述载气和所述前体的供应压力没有根据所述内部容器中的所述前体源的消耗而减小。
17.根据权利要求16所述的方法,其中,所述支撑膜具有允许所述载气和所述前体穿过的孔,并且支撑所述前体源,以将所述前体源上的源消耗空间与所述前体通道空间分离。
18.根据权利要求17所述的方法,其中,提供前体和载气还包括:排放所述前体通道空间中的所述前体和所述载气,以将所述前体和所述载气供应到所述腔室中。
19.根据权利要求16所述的方法,所述方法还包括:在提供所述前体之后或者在提供所述反应气体之后,在所述腔室中提供吹扫气体。
20.根据权利要求16所述的方法,其中,形成薄膜包括沉积原子层。
CN201810805363.0A 2017-08-18 2018-07-20 前体供应单元、基板处理系统和制造半导体器件的方法 Active CN109411386B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0104749 2017-08-18
KR1020170104749A KR102344996B1 (ko) 2017-08-18 2017-08-18 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법

Publications (2)

Publication Number Publication Date
CN109411386A true CN109411386A (zh) 2019-03-01
CN109411386B CN109411386B (zh) 2023-04-07

Family

ID=65361111

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810805363.0A Active CN109411386B (zh) 2017-08-18 2018-07-20 前体供应单元、基板处理系统和制造半导体器件的方法

Country Status (3)

Country Link
US (2) US11047045B2 (zh)
KR (1) KR102344996B1 (zh)
CN (1) CN109411386B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114402093A (zh) * 2019-09-24 2022-04-26 东京毅力科创株式会社 原料供给装置和原料供给方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6901153B2 (ja) 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
FI129734B (en) * 2019-04-25 2022-08-15 Beneq Oy Feeding chamber for preform
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
US11584990B2 (en) * 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
FI130131B (en) * 2021-09-07 2023-03-09 Picosun Oy Precursor container

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1433497A (fr) * 1965-02-16 1966-04-01 Snecma Procédé de dépôt d'une couche protectrice sur une pièce métallique par une méthode en phase vapeur
CN1354807A (zh) * 1999-04-27 2002-06-19 东京电子株式会社 自钽卤化物前体获得整体式的钽和钽氮化物膜的化学气相沉积方法
US20050000427A1 (en) * 2003-07-02 2005-01-06 Samsung Electronics Co., Ltd. Gas supplying apparatus for atomic layer deposition
US20060219168A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited, Tbs Broadcast Center Solid precursor vaporization system for use in chemical vapor deposition
US20070218200A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US20080251016A1 (en) * 2005-11-17 2008-10-16 Hugh Cunning Bubbler For the Transportation of Substances By a Carrier Gas
US20080268143A1 (en) * 2004-11-30 2008-10-30 Constantin Vahlas Device For Providing Vapors Of A Solid Precursor To A Processing Device
US20090263578A1 (en) * 2008-04-22 2009-10-22 Picosun Oy Apparatus and methods for deposition reactors
US20120269968A1 (en) * 2011-04-21 2012-10-25 Kurt J. Lesker Company Atomic Layer Deposition Apparatus and Process
KR20150143158A (ko) * 2014-06-13 2015-12-23 이현식 고체 전구체용 버블러

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3358452A (en) * 1965-10-21 1967-12-19 Gca Corp Valveless rocket motor using subliming solids
US4226829A (en) * 1978-10-04 1980-10-07 Andrew Mike Renewable vaporizer for air treatment and the like
FR2450286A1 (fr) * 1979-02-27 1980-09-26 Armines Procede et dispositif de boruration de pieces en metal
DE3801147A1 (de) * 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
DE4124018C1 (zh) * 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5766458A (en) * 1993-03-12 1998-06-16 Micropyretics Heaters International, Inc. Modulated and regenerative ceramic filter with insitu heating element
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
KR960010901A (ko) * 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
JP3967455B2 (ja) * 1998-03-30 2007-08-29 Dowaホールディングス株式会社 カリウム含有薄膜及びその製法
KR100289405B1 (ko) * 1998-06-27 2001-07-12 김영환 반도체화학기상증착장비용증발기의용액공급구조
AU4967499A (en) * 1998-07-02 2000-01-24 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6063185A (en) * 1998-10-09 2000-05-16 Cree, Inc. Production of bulk single crystals of aluminum nitride, silicon carbide and aluminum nitride: silicon carbide alloy
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
KR100360494B1 (ko) * 1999-09-21 2002-11-13 삼성전자 주식회사 기화장치
DE60106675T2 (de) * 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
JP3909385B2 (ja) * 2001-07-12 2007-04-25 昭和電工株式会社 テトラフルオロシランの製造方法およびその用途
US6701066B2 (en) * 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
JP3744850B2 (ja) * 2001-12-18 2006-02-15 富士通株式会社 半導体装置の製造方法
CN1643179B (zh) * 2002-01-17 2010-05-26 松德沃技术公司 Ald装置和方法
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP4352783B2 (ja) * 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7780787B2 (en) * 2004-08-11 2010-08-24 First Solar, Inc. Apparatus and method for depositing a material on a substrate
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7722720B2 (en) * 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) * 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7625601B2 (en) * 2005-02-04 2009-12-01 Eastman Kodak Company Controllably feeding organic material in making OLEDs
SG160401A1 (en) * 2005-03-16 2010-04-29 Advanced Tech Materials System for delivery of reagents from solid sources thereof
JP4894153B2 (ja) * 2005-03-23 2012-03-14 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US7345184B2 (en) * 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
JP4317174B2 (ja) * 2005-09-21 2009-08-19 東京エレクトロン株式会社 原料供給装置および成膜装置
KR100653313B1 (ko) 2005-11-10 2006-12-05 (주)지오엘리먼트 약품보관 용기
US8673413B2 (en) * 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7967911B2 (en) 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
JP5030478B2 (ja) * 2006-06-02 2012-09-19 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US7638182B2 (en) * 2006-07-28 2009-12-29 Ut-Battelle, Llc Method for producing microchannels in drawn material
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8708320B2 (en) * 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
KR101324290B1 (ko) * 2007-02-28 2013-11-01 주성엔지니어링(주) 기판제조장치의 원료공급장치
US7955569B2 (en) * 2007-03-14 2011-06-07 Hubert Patrovsky Metal halide reactor for CVD and method
US8357214B2 (en) * 2007-04-26 2013-01-22 Trulite, Inc. Apparatus, system, and method for generating a gas from solid reactant pouches
JP2009084625A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 原料ガスの供給システム及び成膜装置
JP2009138014A (ja) * 2007-12-03 2009-06-25 Toyota Central R&D Labs Inc ナノ構造材料の製造方法
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5257197B2 (ja) * 2008-03-31 2013-08-07 住友化学株式会社 有機金属化合物供給装置
AT507187B1 (de) * 2008-10-23 2010-03-15 Helmut Dr Buchberger Inhalator
CN101525743B (zh) * 2009-04-23 2011-06-15 浙江嘉远格隆能源股份有限公司 一种采用近空间升华技术在衬底沉积形成半导体薄膜的方法和装置
WO2011053505A1 (en) * 2009-11-02 2011-05-05 Sigma-Aldrich Co. Evaporator
KR20110061284A (ko) * 2009-12-01 2011-06-09 삼성모바일디스플레이주식회사 소스 가스 공급 유닛 및 이를 구비하는 증착 장치
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8758515B2 (en) * 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
KR101098359B1 (ko) 2010-08-11 2011-12-23 주식회사 마이크로이즈 반도체 공정용 기화장치
US20130105483A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Apparatus for sublimating solid state precursors
KR101615585B1 (ko) * 2011-12-20 2016-04-26 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기화 장치
WO2013116215A1 (en) * 2012-01-31 2013-08-08 First Solar, Inc. Integrated vapor transport deposition method and system
JP5874469B2 (ja) * 2012-03-19 2016-03-02 東京エレクトロン株式会社 トラップ装置及び成膜装置
KR101389011B1 (ko) * 2012-03-28 2014-04-24 주식회사 유니텍스 소스 컨테이너 및 기상 증착용 반응로
US9598766B2 (en) * 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
CN109972119A (zh) * 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US20140096284A1 (en) * 2012-10-01 2014-04-03 Iowa State University Research Foundation, Inc. Method for the delivery of molecules lyophilized onto microparticles to plant tissues
US9308501B2 (en) * 2012-11-01 2016-04-12 Ut-Battelle, Llc Super-surface selective nanomembranes providing simultaneous high permeation flux and high selectivity
KR101436936B1 (ko) * 2013-06-05 2014-09-03 한국기계연구원 고체 암모늄염 반응기, 그 제어방법 및 고체 암모늄염과 선택적 환원촉매를 이용한 질소산화물 정화시스템
KR102268481B1 (ko) * 2013-09-02 2021-06-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 피로멜리트산 이무수물의 제조 방법, 상기 방법에 의해 제조된 피로멜리트산 이무수물, 및 이를 위한 장치
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
US10392700B2 (en) * 2014-04-21 2019-08-27 Entegris, Inc. Solid vaporizer
US10753010B2 (en) * 2014-09-25 2020-08-25 Pallidus, Inc. Vapor deposition apparatus and techniques using high puritiy polymer derived silicon carbide
KR20160123438A (ko) * 2015-04-15 2016-10-26 삼성디스플레이 주식회사 유기 발광 디스플레이 장치용 증착원
KR20170119360A (ko) * 2016-04-18 2017-10-27 삼성전자주식회사 고체 소스 공급 유닛, 가스 공급 유닛, 그리고 기판 처리 방법
KR20190072266A (ko) * 2017-12-15 2019-06-25 삼성전자주식회사 소스 가스 공급 장치 및 이를 구비하는 증착 장치

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1433497A (fr) * 1965-02-16 1966-04-01 Snecma Procédé de dépôt d'une couche protectrice sur une pièce métallique par une méthode en phase vapeur
CN1354807A (zh) * 1999-04-27 2002-06-19 东京电子株式会社 自钽卤化物前体获得整体式的钽和钽氮化物膜的化学气相沉积方法
US20050000427A1 (en) * 2003-07-02 2005-01-06 Samsung Electronics Co., Ltd. Gas supplying apparatus for atomic layer deposition
US20080268143A1 (en) * 2004-11-30 2008-10-30 Constantin Vahlas Device For Providing Vapors Of A Solid Precursor To A Processing Device
US20060219168A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited, Tbs Broadcast Center Solid precursor vaporization system for use in chemical vapor deposition
US20080251016A1 (en) * 2005-11-17 2008-10-16 Hugh Cunning Bubbler For the Transportation of Substances By a Carrier Gas
US20070218200A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US20090263578A1 (en) * 2008-04-22 2009-10-22 Picosun Oy Apparatus and methods for deposition reactors
US20120269968A1 (en) * 2011-04-21 2012-10-25 Kurt J. Lesker Company Atomic Layer Deposition Apparatus and Process
KR20150143158A (ko) * 2014-06-13 2015-12-23 이현식 고체 전구체용 버블러

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114402093A (zh) * 2019-09-24 2022-04-26 东京毅力科创株式会社 原料供给装置和原料供给方法

Also Published As

Publication number Publication date
US11959170B2 (en) 2024-04-16
KR20190020248A (ko) 2019-02-28
KR102344996B1 (ko) 2021-12-30
US20210292900A1 (en) 2021-09-23
US20190055649A1 (en) 2019-02-21
CN109411386B (zh) 2023-04-07
US11047045B2 (en) 2021-06-29

Similar Documents

Publication Publication Date Title
CN109411386A (zh) 前体供应单元、基板处理系统和制造半导体器件的方法
US9873942B2 (en) Methods of vapor deposition with multiple vapor sources
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
KR100614648B1 (ko) 반도체 소자 제조에 사용되는 기판 처리 장치
JP6022638B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
CN1712560B (zh) 使用垂直cvd装置的cvd方法
US20080041307A1 (en) Control of gas flow and delivery to suppress the formation of particles in an mocvd/ald system
US8211235B2 (en) Apparatuses and methods for deposition of material on surfaces
US20130064973A1 (en) Chamber Conditioning Method
JP5109299B2 (ja) 成膜方法
US20030123216A1 (en) Deposition of tungsten for the formation of conformal tungsten silicide
US20210118668A1 (en) Semiconductor deposition reactor manifolds
JP2006193801A (ja) 気化装置及び処理装置
US10253414B2 (en) Liquid phase atomic layer deposition
KR100927912B1 (ko) 기판 처리 방법
US20180163303A1 (en) Substrate processing apparatus and substrate processing method using the same
US7786010B2 (en) Method for forming a thin layer on semiconductor substrates
JP2021188130A (ja) バナジウム前駆体を直接液体注入するためのシステムおよび方法
JP2013147708A (ja) TiSiN膜の成膜方法および記憶媒体
KR100621765B1 (ko) 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
US11668005B2 (en) Manufacturing of coated items
JP2006135053A (ja) 気化器および成膜装置
KR20200020606A (ko) 성막 방법 및 성막 장치
KR20080065453A (ko) 약액 공급 장치 및 방법과 이를 이용한 기판 처리 장치
KR20120029796A (ko) 박막 증착 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant