KR20160124028A - V 족-함유 필름 형성 조성물 및 v 족-함유 필름의 증착 - Google Patents

V 족-함유 필름 형성 조성물 및 v 족-함유 필름의 증착 Download PDF

Info

Publication number
KR20160124028A
KR20160124028A KR1020160046509A KR20160046509A KR20160124028A KR 20160124028 A KR20160124028 A KR 20160124028A KR 1020160046509 A KR1020160046509 A KR 1020160046509A KR 20160046509 A KR20160046509 A KR 20160046509A KR 20160124028 A KR20160124028 A KR 20160124028A
Authority
KR
South Korea
Prior art keywords
containing film
group
tbu
ipr
forming composition
Prior art date
Application number
KR1020160046509A
Other languages
English (en)
Inventor
끌레망 랑살로-마트라
원태 노
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20160124028A publication Critical patent/KR20160124028A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • C09D7/1233
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/02Emulsion paints including aerosols
    • C09D5/024Emulsion paints including aerosols characterised by the additives
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G13/00Apparatus specially adapted for manufacturing capacitors; Processes specially adapted for manufacturing capacitors not provided for in groups H01G4/00 - H01G11/00
    • H01G13/003Apparatus or processes for encapsulating capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/10Metal-oxide dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/20Dielectrics using combinations of dielectrics from more than one of groups H01G4/02 - H01G4/06
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Dispersion Chemistry (AREA)

Abstract

V 족-함유 필름 형성 조성물이 개시되며, 또한 이를 합성하는 방법, 및 V 족-함유 필름 형성 조성물을 사용하여 증착 공정을 통해 하나 이상의 기판 상에 V 족-함유 필름을 형성시키는 방법이 개시된다.

Description

V 족-함유 필름 형성 조성물 및 V 족-함유 필름의 증착 {GROUP V-CONTAINING FILM FORMING COMPOSITIONS AND VAPOR DEPOSITION OF GROUP V-CONTAINING FILMS}
관련 출원에 대한 교차 인용
본 출원은 2015 년 4 월 16 일에 제출된 미국 가출원 번호 62/148,265 호에 대한 우선권을 주장하며, 그 전문은 모든 목적으로 본원에 참조 인용된다.
기술 분야
V 족-함유 필름 형성 조성물이, 그의 합성 방법 및 V 족-함유 필름 형성 조성물을 이용한 증착 공정을 통한 하나 이상의 기판 상 V 족-함유 필름의 형성 방법과 함께 개시되어 있다.
산화니오븀 (Nb2O5) 과 같은 금속 산화물 필름은 다양한 기술 분야에서 광범위하게 활용되고 있다. 전통적으로 이들 산화물은 절연체 층의 고-k 물질로서 이용된 저항 필름으로서 적용되고 있다. 예를 들어, 두 ZrO2 절연체 층 사이의 Nb2O5 의 박막층은 전류 누출을 현저하게 감소시키고 ZrO2 의 입방체/정방 상을 안정화하는데 도움되는 것으로 기대되어, DRAM 의 전류 MTM 커패시터에서 더 높은 k 값을 제공한다. (Alumina, J. Vac. Sci. Technol A 4 (6), 1986 and Microelectronic Engineering 86 (2009) 1789-1795).
니오븀 니트라이드 (NbNx, 식 중 x 는 약 1 임) 와 같은 금속 니트라이드 필름이 각종 기술 분야에서 광범위하게 이용되어 왔다. 전통적으로 이들 니트라이드는 하드 코팅 및 장식 코팅으로서 적용되었으나, 지난 수십년간 이들은 마이크로전자 소자에서 점차적으로 확산 장벽 및 접착/글루 층으로서 이용되어 왔다 [Applied Surface Science 120 (1997) 199-212]. 예를 들어, NbCl5 는 NbNx 의 원자층 액피택시얼 성장의 니오븀 근원으로서 조사된 바 있으나, 이 방법은 환원제로서 Zn 을 요구했다 [Applied Surface Science 82/83 (1994) 468-474]. NbNx 필름은 또한 NbCl5 및 NH3 을 이용하여 원자층 침적에 의해 침적되었다 [Thin Solid Films 491 (2005) 235-241]. 500 oC 에서 침적된 필름이 거의 염소가 부재인 것처럼 염소 함량은 강한 온도 의존성을 보였지만, 침적 온도가 250 oC 만큼 낮은 경우 염소 함량은 8% 였다 (상기 문헌). NbCl5 의 고 용융점은 또한 상기 전구체를 증착 공정에서 사용하기에 곤란하게 만든다.
Gust et al. 은 피라졸라토 리간드 보유 니오븀 및 탄탈 이미도 착물의 합성, 구조 및 특징, 및 이들의 CVD 에 의한 탄탈 니트라이드 필름의 성장을 위한 잠재적 용도를 개시하고 있다. Polyhedron 20 (2001) 805-813.
Elorriaga et al. 은 아민의 촉매적 구아닐화에서 중간체로서의 비대칭 니오븀 구아니디네이트를 개시하고 있다 (Dalton Transactions, 2013, Vol. 42, Issue 23 pp. 8223-8230).
Tomson et al. 은 양이온성 Nb 및 Ta 모노메틸 착물 [(BDI)MeM(NtBu)][X] (BDI=2,6-iPr2C6H3-N-C(Me)CH-C(Me)-N(2,6-iPr2C6H3); X=MeB(C6F5)3 또는 B(C6F5)4) 의 합성 및 반응성을 개시하고 있다 (Dalton Transactions 2011 Vol. 40, Issue 30, pp. 7718-7729).
DE102006037955 (Starck) 는 식 R4R5R6M(R1NNR2R3)2 (여기서 M 은 Ta 또는 Nb 이고; R1-R3 = C1-12 알킬, C5-12 시클로알킬, C6-10 아릴, 알케닐, C1-4 트리오르가노실릴이고; R4-R6 = 할로, (시클로)알콕시, 아릴옥시, 실록시, BH4, 알릴, 인데닐, 벤질, 시클로펜타디에닐, CH2SiMe3, 실릴아미도, 아미도 또는 이미노임) 을 갖는 탄탈- 및 니오븀-화합물을 개시하고 있다.
Maestre et al. 은 NbCp(NH(CH2)2-NH2)Cl3 및 NbCpCl2(N-(CH2)2-N) 이 형성되도록 하는 시클로펜타디에닐-실릴-아미도 티탄 화합물과 5 족 금속 모노시클로펜타디에닐 착물의 반응을 개시하고 있다.
고온에서 두께 및 조성 제어와 함께 기상 필름 침착에 적합한, 신규의 액체 또는 저 용융점 (표준 압력에서 <50 oC), 고열 안정성의 V 족-함유 전구체 분자 개발이 여전히 요구되고 있다.
표기법 및 명명법
특정 약어, 기호, 및 용어가 하기 명세서 및 청구항 전반에 사용되고, 하기를 포함한다:
본원에서 사용되는 바와 같은, 부정관사 "하나" 또는 "한" 은 하나 이상을 의미한다.
본원에서 이용되는 바, 용어 "대략" 또는 "약"은 표시값의 +/-10% 를 의미한다.
원소의 주기율표에서의 원소의 표준 약어가 본원에서 이용된다. 원소는 이들 약어에 의해 지칭될 수 있음이 자명하다 (예, Nb 는 니오븀을 지칭하고, N 은 질소를 지칭하고, C 는 탄소를 지칭한다 등).
본원에서 사용되는 바와 같은, 용어 "독립적으로" 는 R 기를 설명하는 문맥에서 사용되는 경우 대상 R 기가 독립적으로 동일 또는 상이한 아래첨자 또는 위첨자를 가진 다른 R 기에 대해 선택될 뿐 아니라, 또한 독립적으로 동일한 R 기의 임의의 부가적인 종류에 대해 선택되는 것임을 나타내는 것으로 이해되어야 한다. 예를 들어 화학식 MR1 x (NR2R3)(4-x) (식 중 x 는 2 또는 3 임) 에서, 2 또는 3 개의 R1 기는 서로에 대해 또는 R2 또는 R3 과 서로 동일할 수 있으나, 그럴 필요는 없다.
본원에서 사용되는 바와 같은, 용어 "알킬기" 는 오로지 탄소 및 수소 원자만을 함유하는 포화 작용기를 말한다. 추가로, 용어 "알킬기" 는 선형, 분지형, 또는 시클릭 알킬기를 말한다. 선형 알킬기의 예에는 제한 없이 메틸기, 에틸기, 프로필기, 부틸기 등이 포함된다. 분지형 알킬기의 예에는 제한 없이, t-부틸이 포함된다. 시클릭 알킬기의 예에는 제한 없이, 시클로프로필기, 시클로펜틸기, 시클로헥실기 등이 포함된다.
본원에서 사용되는 바와 같은, 약어 "Me" 는 메틸기를 말하고; 약어 "Et" 는 에틸기를 말하고; 약어 "Pr" 은 프로필기를 말하고; 약어 "nPr" 는 "노르말" 또는 선형 프로필기를 말하고; 약어 "iPr" 은 이소프로필기를 말하고; 약어 "Bu" 는 부틸기를 말하고; 약어 "nBu" 는 "노르말" 또는 선형 부틸기를 말하고; 약어 "tBu" 는 또한 1,1-디메틸에틸로서 공지된 tert-부틸기를 말하고; 약어 "sBu" 는 1-메틸프로필로도 공지된 sec-부틸기를 말하고; 약어 "iBu" 는 2-메틸프로필로도 공지된 이소-부틸기를 말하고; 약어 "amyl"은 아밀 또는 펜틸기를 말하고; 약어 "tAmyl" 은 1,1-디메틸프로필로도 공지된 tert-아밀기를 말한다.
본원에서 이용되는 바, 약어 "TMS" 는 트리메틸실릴 (Me3Si-) 을 말하고; 약어 "DMS" 는 디메틸실릴 (Me2HSi-) 을 말하고; 약어 "MMS" 는 모노메틸실릴 (MeH2Si-) 을 말한다.
산화규소와 같은 침적된 필름 또는 층은 명세서 및 청구항 전반에 걸쳐 그의 적절한 화학량론과 관계 없이 열거된 점에 주의한다 (즉, SiO2). 층은 순수 (Si) 층, 실리사이드 (MoSip) 층, 카르바이드 (SioCp) 층, 니트라이드 (SikNl) 층, 옥시드 (SinOm) 층, 또는 그의 혼합물을 포함할 수 있고; 이때 M 은 원소이고, k, l, m, n, o, 및 p 는 포괄적으로 1 내지 6 범위이다. 예를 들어, 코발트 실리사이드는 CokSil (식 중, k 및 l 은 각각 0.5 내지 5 범위임) 이다. 마찬가지로, 임의의 기준 층은 또한 산화규소층, SinOm (식 중, n 은 0.5 내지 1.5 범위이고, m 은 1.5 내지 3.5 범위임) 을 포함할 수 있다. 더욱 바람직하게, 산화규소층은 SiO2 또는 SiO3 이다. 산화규소층은 산화규소 기재의 유전 (dielectric) 물질, 예컨대 유기 기재 또는 산화규소 기재 저-k 유전 물질, 예컨대 Black Diamond II 또는 III 물질 (Applied Materials, Inc) 일 수 있다. 대안적으로, 임의의 기준 규소-함유 층은 순수 규소일 수 있다. 임의의 규소-함유 층은 또한 도펀트, 예컨대 B, C, P, As 및/또는 Ge 을 포함할 수도 있다.
본원에서 언급된 임의 및 모든 범위는 용어 "포괄적으로"가 사용되는지 여부와 관계 없이 그의 양쪽 끝수를 포함한다 (즉, x=1 내지 4 는, x=1, x=4, 및 x= 그 둘 사이의 임의의 수를 포함함).
개요
하기 식을 갖는 전구체를 포함하는 V 족-함유 필름 형성 조성물이 개시된다:
Figure pat00001
[식 중, M 은 V, Nb 또는 Ta 이고, 각각의 R, R1, R2, R3, R4, R5, R6 및 R7 은 독립적으로 H, 알킬기 또는 R'3Si 이고, 여기서 각각의 R' 은 독립적으로 H 또는 알킬기임]. 개시된 V 족-함유 필름 형성 조성물은 하기의 양태 중 하나 이상을 포함할 수 있다:
ㆍ M = V 임;
ㆍ M = Nb 임;
ㆍ M = Ta 임;
ㆍ 각각의 R, R1, R2, R3, R4, R5, R6 및 R7 은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H 또는 SiH2Me 에서 선택됨;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Me, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Me, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Me, H, H, H 및 H 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Me, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Et, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Et, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Et, H, H, H 및 H 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 Et, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, H, H 및 H 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 tBu, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 tBu, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 tBu, H, H, H 및 H 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 tBu, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 SiMe3, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 SiMe3, H, H, H 및 H 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 SiMe3, H, H, H 및 H 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 SiMe3, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, iPr, H 및 iPr 이고; R6 및 R7 은 각각 iPr 및 iPr 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, iPr, H 및 iPr 이고; R6 및 R7 은 각각 iPr 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, iPr, H 및 iPr 이고; R6 및 R7 은 각각 tBu 및 tBu 임;
ㆍ R 은 tBu 이고; R1, R2, R3, R4 및 R5 는 각각 iPr, H, iPr, H 및 iPr 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 Et 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 iPr 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임;
ㆍ R 은 tAmyl이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임; 및
ㆍ R 은 SiMe3 이고; R1, R2, R3, R4 및 R5 는 각각 H, H, H, H 및 H 이고; R6 및 R7 은 각각 tAmyl 및 tAmyl 임.
또한, 상기 개시된 V 족-함유 필름 형성 조성물 중 임의의 것을 함유하고 입구 도관 및 배출구 도관을 갖는 통을 포함하는 V 족-함유 필름 형성 조성물 전달 소자가 개시된다. 개시된 소자는 하기의 양태 중 하나 이상을 포함할 수 있다:
ㆍ V 족-함유 필름 형성 조성물은 총 농도 10 ppmw 미만의 금속 오염물질을 가짐;
ㆍ 입구 도관 말단의 말단부는 V 족-함유 필름 형성 조성물의 표면 위에 위치하고 배출구 도관의 말단은 V 족-함유 필름 형성 조성물의 표면 아래 위치함;
ㆍ 입구 도관 말단의 말단부는 V 족-함유 필름 형성 조성물의 표면 아래에 위치하고 배출구 도관의 말단은 V 족-함유 필름 형성 조성물의 표면 위에 위치함;
ㆍ 입구 및 배출구 상에 다이아프램 밸브를 추가로 포함함;
ㆍ V 족-함유 필름 형성 조성물은 VCp(=NtBu)(N(tAmyl)-CH-CH-N(tAmyl) 임;
ㆍ V 족-함유 필름 형성 조성물은 V(MeCp)(=NtBu)(N(tBu)-CH-CH-N(tBu) 임;
ㆍ V 족-함유 필름 형성 조성물은 NbCp(=NtBu)(N(tAmyl)-CH-CH-N(tAmyl) 임;
ㆍ V 족-함유 필름 형성 조성물은 Nb(MeCp)(=NtBu)(N(tBu)-CH-CH-N(tBu) 임;
ㆍ V 족-함유 필름 형성 조성물은 TaCp(=NtBu)(N(tAmyl)-CH-CH-N(tAmyl) 임; 및
ㆍ V 족-함유 필름 형성 조성물은 Ta(MeCp)(=NtBu)(N(tBu)-CH-CH-N(tBu) 임.
또한, 기판 상 V 족-함유 필름의 침적 방법이 개시되어 있다. 상기 개시된 V 족-함유 필름 형성 조성물은 내부에 기판이 배치된 반응기에 도입된다. 전구체의 적어도 일부는 기판 상에 침적되어 V 족-함유 필름을 형성한다. 개시된 방법은 하기의 양태 중 하나 이상을 추가로 포함할 수 있다:
ㆍ 하나 이상의 반응물을 반응기에 도입함;
ㆍ 반응물은 플라스마-처리됨;
ㆍ 반응물은 원격 플라스마 처리됨;
ㆍ 반응물은 플라스마 처리되지 않음;
ㆍ 반응물은 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그의 수소 라디칼, 및 그의 혼합물로 이루어진 군에서 선택됨;
ㆍ 반응물은 H2 임;
ㆍ 반응물은 NH3 임;
ㆍ 반응물은: O2, O3, H2O, H2O2, NO, N2O, NO2, 그의 산소 라디칼, 및 그의 혼합물로 이루어진 군에서 선택됨;
ㆍ 반응물은 H2O 임;
ㆍ 반응물은 플라스마 처리된 O2 임;
ㆍ 반응물은 O3 임;
ㆍ V 족-함유 필름 형성 조성물 및 반응물이 반응기에 동시에 도입됨;
ㆍ 반응기는 화학적 증착을 위해 환경 설정됨;
ㆍ 반응기는 플라스마 강화 화학적 증착을 위해 환경 설정됨;
ㆍ V 족-함유 필름 형성 조성물 및 반응물은 순차적으로 챔버에 도임됨;
ㆍ 반응기는 원자층 침적을 위해 환경 설정됨;
ㆍ 반응기는 플라스마 강화 원자층 침적을 위해 환경 설정됨;
ㆍ 반응기는 공간적 원자층 침적을 위해 환경 설정됨;
ㆍ V 족-함유 필름은 순수 V 박막임;
ㆍ V 족-함유 필름은 순수 Nb 박막임;
ㆍ V 족-함유 필름은 순수 Ta 박막임;
ㆍ V 족-함유 필름은 VkSil 이고, 이때 k 및 l 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 NbkSil 이고, 이때 k 및 l 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 TakSil 이고, 이때 k 및 l 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 VnOm 이고, 이때 n 및 m 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 NbnOm 이고, 이때 n 및 m 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 TanOm 이고, 이때 n 및 m 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 VO2 또는 V2O5 임;
ㆍ V 족-함유 필름은 NbO2 또는 Nb2O5 임;
ㆍ V 족-함유 필름은 TaO2 또는 Ta2O5 임;
ㆍ V 족-함유 필름은 VoNp 이고, 이때 o 및 p 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 NboNp 이고, 이때 o 및 p 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 TaoNp 이고, 이때 o 및 p 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 VN 임;
ㆍ V 족-함유 필름은 NbN 임;
ㆍ V 족-함유 필름은 TaN 임;
ㆍ V 족-함유 필름은 VoNpOq 이고, 이때 o, p 및 q 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 NboNpOq 이고, 이때 o, p 및 q 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 TaoNpOq 이고, 이때 o, p 및 q 각각은 포괄적으로 1 내지 6 범위인 정수임;
ㆍ V 족-함유 필름은 VON 임;
ㆍ V 족-함유 필름은 NbON 임; 또는
ㆍ V 족-함유 필름은 TaON 임.
본 발명의 특성 및 목적의 추가의 이해를 위해, 하기 상세한 설명을, 이하의 첨부 도면과 함께 참조한다:
도 1 은 V 족-함유 필름 형성 조성물 전달 소자의 제 1 구현예의 측면도이고;
도 2 는 V 족-함유 필름 형성 조성물 전달 소자의 제 2 구현예의 측면도이고;
도 3 은 니오븀 t부틸 (tButyl) 이미도 시클로펜타디에닐 tAmyl-디아자디에닐의 온도 증가에 따른 중량 손실 백분율을 입증하는 열무게 분석 (TGA) 그래프이다.
바람직한 구현예의 기재
하기 식을 갖는 전구체를 포함하는 V 족-함유 필름 형성 조성물이 개시된다:
Figure pat00002
[식 중, M 은 V, Nb 또는 Ta 이고, 각각의 R, R1, R2, R3, R4, R5, R6 및 R7 은 독립적으로 H, 알킬기 또는 R'3Si 이고, 이때 각각의 R' 는 독립적으로 H 또는 알킬기임]. 각각의 R, R1, R2, R3, R4, R5, R6 및 R7 은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H 또는 SiH2Me 에서 선택될 수 있다.
R 이 tBu 이고 R1-R5 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00003
.
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R 이 tBu 이고, R1 이 Me 이고, R2-R5 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00004
.
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R 이 tBu 이고, R1 이 Et 이고, R2-R5 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00005
.
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R 이 tBu 이고, R1 이 iPr 이고, R2-R5 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00006
.
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R 및 R1 이 tBu 이고 R2-R5 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00007
.
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R 이 tBu 이고, R1 이 SiMe3 이고, R2-R5 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00008
.
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R 이 tBu 이고; R1, R3 및 R5 가 iPr 이고; R2 및 R4 가 H 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00009
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R6 은 R7 과 동일할 수 있다. 예를 들어, R6 및 R7 은 각각 H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2 또는 SiH2Me 일 수 있다. 대안적으로, R6 은 R7 과 상이할 수 있다. 예를 들어, R6 은 iPr 일 수 있으며 R7 은 tBu 일 수 있다.
R1- R5 가 H 이고 R6 및 R7 이 tAmyl 인 경우, 전구체는 하기 식을 갖는다:
Figure pat00010
구조는 M=V 및 M=Ta 전구체와 유사할 수 있다. 이러한 구현예에서, R 은 Et, iPr, tAmyl 또는 SiMe3 일 수 있다.
이러한 전구체는 1 몰 당량의 M(=NtBu)Cl3(py)2 를 1 몰 당량의 적절한 알칼리 시클로펜타디에닐 리간드 (즉, Li 또는 Na 또는 K Cp) 와 실온에서 극성 용매 중 반응시켜 합성될 수 있다. M(=NtBu)Cl3(py)2 는 [Dalton Trans., 2011, 40, 413-420] 에서 기재한 바와 같이 제조될 수 있다. 적합한 극성 용매는 테트라히드로푸란 (THF) 을 포함한다. 충분한 시간 동안 교반한 후, -78 oC 에서 1 몰 당량의 새로 제조한 알칼리 디아자디에닐 리간드를 첨가할 수 있다. 실온에서 추가 교반한 후, 용매를 진공 하 제거하고 펜탄과 같은 비극성 용매를 사용하여 생성물을 추출하였다.
개시된 V 족-함유 필름 형성 조성물의 순도는 95% w/w 초과 (즉, 95.0% w/w 내지 100.0% w/w), 바람직하게 98% w/w 초과 (즉, 98.0% w/w 내지 100.0% w/w), 및 더욱 바람직하게 99% w/w 초과 (즉, 99.0% w/w 내지 100.0% w/w) 이다. 당업자는 순도가 H NMR 또는 기체 또는 액체 크로마토그래피와 질량 분석에 의해 측정될 수 있다는 점을 인지할 것이다. 개시된 V 족-함유 필름 형성 조성물은 하기 불순물 중 임의의 것을 함유할 수 있다: 디아자디엔; 시클로펜타디엔; 피리딘; 알킬아민; 알킬이민; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 염소화 금속 화합물; 리튬, 나트륨 또는 칼륨 시클로펜타디에닐 또는 리튬, 나트륨 또는 칼륨 디아자디에닐. 이들 불순물의 총량은 5% w/w 미만 (즉, 0.0% w/w 내지 5.0% w/w), 바람직하게 2% w/w 미만 (즉, 0.0% w/w 내지 2.0% w/w), 및 더욱 바람직하게 1% w/w 미만 (즉 0.0% w/w 내지 1.0% w/w) 이다. 조성물은 재결정화, 승화, 증류에 의해 및/또는 기체 또는 액체를 4A 분자체와 같은 적합한 흡수제에 통과시킴으로써 정제할 수 있다.
개시된 V 족-함유 필름 형성 조성물의 정제는 또한 금속 불순물을 0 ppbw 내지 1 ppmw, 바람직하게 0-500 ppbw (part per billion weight) 수준으로 야기할 수 있다. 이들 금속 불순물에는 이에 제한되는 것은 아니나, 알루미늄 (Al), 비소 (As), 바륨 (Ba), 베릴륨 (Be), 비스무트 (Bi), 카드뮴 (Cd), 칼슘 (Ca), 크로뮴 (Cr), 코발트 (Co), 구리 (Cu), 갈륨 (Ga), 게르마늄 (Ge), 하프늄 (Hf), 지르코늄 (Zr), 인듐 (In), 철 (Fe), 납 (Pb), 리튬 (Li), 마그네슘 (Mg), 망간 (Mn), 텅스텐 (W), 니켈 (Ni), 칼륨 (K), 나트륨 (Na), 스트론튬 (Sr), 토륨 (Th), 주석 (Sn), 티타늄 (Ti), 우라늄 (U) 및 아연 (Zn) 이 포함된다.
개시된 V 족-함유 필름 형성 조성물은, 개시된 V 족-함유 필름 형성 조성물 전달 소자에 의해 반도체 프로세싱 도구에 전달될 수 있다. 1 2 는 개시된 전달 소자 1 의 두 구현예를 나타낸다.
도 1 은 V 족-함유 필름 형성 조성물 전달 소자 1 의 한 구현예의 측면도이다. 1 에서, 개시된 V 족-함유 필름 형성 조성물 10 은 2 개의 도관, 즉 입구 도관 30 및 배출구 도관 40 을 갖는 용기 20 내에 함유된다. 전구체 분야의 당업자는 용기 20, 입구 도관 30 및 배출구 도관 40 이 승온 및 승압에서조차도 V 족-함유 필름 형성 조성물 10 의 기체 형태의 누출을 막기 위해 제조된 것임을 인지할 것이다.
적합한 밸브에는 스프링 작동식 또는 결부식 (tied) 다이아프램 밸브가 포함된다. 밸브는 추가로 제한 유동 오리피스 (restrictive flow orifice; RFO) 를 포함할 수 있다. 전달 소자는 기체 매니폴드 및 인클로져 (enclosure) 에 연결되어야 한다. 기체 매니폴드는 임의의 잔여량의 인화성 물질이 반응되지 않도록, 전달 소자가 대체될 때 공기에 노출될 수 있는 배관의 안전한 배출 및 퍼징을 허용해야 한다. 인클로져에는 인화성 물질이 방출되는 경우 화재를 제어하는 화재 제어능 및 센서가 구비되어야 한다. 기체 매니폴드는 또한 격리 밸브, 진공 발생기를 갖춰야 하며 최소한도로 퍼지 기체의 도입을 허용해야 한다.
전달 소자는 누설 방지되어야 하고 심지어 미세량의 물질이라도 이의 배출을 허용하지 않는 밸브를 구비해야 한다. 전달 소자는 밸브 3545 를 통해 상기 개시된 기체 캐비넷과 같은 반도체 프로세싱 도구의 여타의 부품과 유동적으로 연결된다. 바람직하게, 전달 소자 20, 입구 도관 30, 밸브 35, 배출구 도관 40 및 밸브 45 는 316L EP 또는 304 스테인레스 스틸로 만들어진다. 그러나, 당업자는 기타 비반응성 물질이 또한 본원 교시에 이용될 수도 있고, 임의의 부식성 V 족-함유 필름 형성 조성물 10 이 하스텔로이 (Hastelloy) 또는 인코넬 (Inconel) 과 같은 보다 내부식성인 물질의 이용을 요구할 수 있다는 점을 인지할 것이다.
1 에서, 입구 도관 30 의 말단부 31 은 V 족-함유 필름 형성 조성물 10 의 표면 위에 위치하는 반면, 배출구 도관 40 의 말단부 41 은 V 족-함유 필름 형성 조성물 10 의 표면 아래에 위치된다. 이 구현예에서, V 족-함유 필름 형성 조성물 10 은 바람직하게 액체 형태이다. 이에 제한되는 것은 아니나, 질소, 아르곤, 헬륨 및 이의 혼합물을 포함하는 불활성 기체는 입구 도관 30 에 도입될 수 있다. 전달 소자 20 은 불활성 기체에 의해 가압되어, 액체 V 족-함유 필름 형성 조성물 10 이 배출구 도관 40 을 통해 반도체 프로세싱 도구 (나타내지 않음) 내 부품으로 밀치고 나아가게 된다. 반도체 프로세싱 도구는 헬륨, 아르곤, 질소 또는 이의 혼합물과 같은 운반 기체를 사용하거나 사용하지 않고, 액체 V 족-함유 필름 형성 조성물 10 을 증기로 변형시키는 기화기를 포함할 수 있으며, 이로써 그 증기는 보수될 웨이퍼가 위치되고 증기상으로 처리가 일어나는 챔버에 전달된다. 대안적으로, 액체 V 족-함유 필름 형성 조성물 10 은 제트 또는 에어로졸로서 웨이퍼 표면으로 직접 전달될 수 있다.
도 2 는 V 족-함유 필름 형성 조성물 전달 소자 1 의 제 2 구현예의 측면도이다. 도 2 에서, 입구 도관 30 의 말단부 31 은 V 족-함유 필름 형성물 10 의 표면 아래에 위치되는 반면 배출구 도관 40 의 말단부 41 은 V 족-함유 필름 형성 조성물 10 의 표면 위에 위치된다. 2 는 또한 V 족-함유 필름 형성 조성물 10 의 온도를 상승시킬 수 있는 선택적인 가열부 25 를 포함한다. V 족-함유 필름 조성물 10 은 고체 또는 액체 형태일 수 있다. 이에 제한되는 것은 아니나 질소, 아르곤, 헬륨 및 이의 혼합물을 포함하는 불활성 기체는 입구 도관 30 에 도입된다. 불활성 기체는 V 족-함유 필름 형성 조성물 10 을 통해 유동하고 불활성 기체 및 기화된 V 족-함유 필름 형성 조성물 10 의 혼합물을 배출구 도관 40 및 반도체 프로세싱 도구 내 부품으로 나른다.
12 모두는 밸브 3545 를 포함한다. 당업자는 밸브 3545 가 도관 3040 각각을 통해 유동되도록 개방 또는 폐쇄 상태로 배치될 수 있음을 인지할 것이다. 1 또는 2 의 전달 소자 1 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 중단되는 단일의 도관을 갖는 보다 간단한 전달 소자가, V 족-함유 필름 형성 조성물 10 이 증기 형태이거나 충분한 기압이 고체/액체상 위에 존재하는 경우 이용될 수 있다. 이 경우, V 족-함유 필름 형성 조성물 10 은 간단히 1 의 밸브 35 를 또는 2 의 밸브 45 를 각각 개방시킴으로써 도관 30 또는 40 을 통해 증기 형태로 전달된다. 전달 소자 1 은 적절한 온도에서 예를 들어 선택적인 가열부 25 를 이용함으로써 증기 형태로 전달되는 V 족-함유 필름 형성 조성물 10 에 충분한 증기압을 제공하도록 유지될 수 있다.
도 12 에서 V 족-함유 필름 형성 조성물 전달 소자 1 의 두 구현예를 개시하면서, 당업자는 입구 도관 30 및 배출구 도관 40 이 모두 본원 개시에서 벗어나지 않고 V 족-함유 필름 형성 조성물 10 의 표면 위 또는 아래에 위치될 수 있음을 인지할 것이다. 게다가, 입구 도관 30 은 충전 포트일 수 있다. 마지막으로, 당업자는 개시된 V 족-함유 필름 형성 조성물이 본 교시에서 벗어나지 않으면서 Jurcik et al. 의 WO 2006/059187 에 개시된 앰플과 같은 여타의 전달 소자를 이용함으로써 반도체 프로세싱 도구에 전달될 수 있음을 인지할 것이다.
또한, 증착 공정 이용에 의한 기판 상 V 족 함유 층의 형성 방법이 개시되어 있다. 상기 방법은 반도체, 광전지, LCD-TFT 또는 평면 패널 타입 소자의 제작에 유용할 수 있다. 개시된 V 족-함유 필름 형성 조성물은 당업자에게 공지된 임의의 침적 방법을 이용하여 V 족-함유 필름을 침적시키는데 사용될 수 있다. 적합한 증착 방법의 예에는 화학적 증착 (CVD) 또는 원자층 침적 (ALD) 이 포함된다. 예시적인 CVD 방법에는 열적 CVD, 플라스마 강화 CVD (PECVD), 펄스화 CVD (PCVD), 저압 CVD (LPCVD), 부압 CVD (sub-atmospheric CVD; SACVD) 또는 대기압 CVD (APCVD), 핫-와이어 CVD (HWCVD 또한 cat-CVD 로서 공지, 여기서 핫 와이어는 침적 공정의 에너지원으로서 역할함), 라디칼 혼입 CVD, 및 이의 조합물이 포함된다. 예시적인 ALD 방법에는 열적 ALD, 플라스마 강화 ALD (PEALD), 공간 격리 ALD, 핫 와이어 ALD (HWALD), 라디칼 혼입 ALD, 및 이의 조합물이 포함된다. 초임계 유동 침적이 또한 이용될 수 있다. 침적 방법은 적합한 단차 피복성 (step coverage) 및 필름 두께 제어를 제공하도록, ALD, PE-ALD 또는 공간 ALD 가 바람직하다.
개시된 V 족-함유 필름 형성 조성물은 전구체, 또는 전구체와 에틸 벤젠, 자일렌, 메시틸렌, 데칼린, 데칸, 도데칸 및 이의 혼합물과 같은 적절한 용매의 조합물로 이루어질 수 있다. 개시된 전구체는 용매 중 각종 농도로 존재할 수 있다.
V 족-함유 필름 형성 조성물은 배관 및/또는 유량계와 같은 종래의 수단에 의해 증기 형태로 반응기에 도입된다. 증기 형태는, 직접 기화, 증류와 같은 통상의 기화 단계를 통한 조성물의 기화에 의해, 또는 버블링 또는 PCT 공보 WO2009/087609, Xu et al 에 개시된 것과 같은 승화기를 이용함으로써 제조될 수 있다. 조성물은 반응기에 도입되기 전에 기화시키는 기화기에 액체 상태로 공급될 수 있다. 대안적으로, 운반 기체를 조성물 함유 용기에 통과시킴으로써 또는 운반 기체를 조성물에 버블링시킴으로써 조성물은 기화될 수 있다. 운반 기체는 이에 제한되는 것은 아니나, Ar, He, N2 및 이의 혼합물을 포함할 수 있다. 운반 기체로의 버블링은 또한 조성물에 존재하는 용존 산소를 제거할 수도 있다. 운반 기체 및 조성물이 이때 반응기에 증기로서 도입된다.
필요에 따라, 개시된 조성물을 함유하는 용기는, 조성물이 그의 액체상으로 존재하며 충분한 증기압을 갖도록 허용하는 온도로 가열될 수 있다. 용기는 예를 들어 약 0 oC 내지 약 150 oC 의 범위의 온도에서 유지될 수 있다. 당업자는 용기 온도를 기화된 전구체의 양을 제어하도록 공지된 방식으로 조절할 수 있음을 인지하고 있다.
반응기는 제한 없이, 평행 판 유형 반응기, 냉벽 유형 반응기, 고온벽 유형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 화합물이 반응하고 층을 형성하는 것을 초래하기에 적합한 조건 하 침적 시스템의 기타 유형과 같이 침적 방법이 행해지는 소자 내의 임의의 인클로져 또는 챔버일 수 있다. 당업자는 이들 반응기 중 어느 반응기도 ALD 또는 CVD 침적 공정에 이용될 수 있음을 인지할 것이다.
반응기는 필름이 침적될 하나 이상의 기판을 포함한다. 기판은 일반적으로 공정이 행해지는 물질로서 정의된다. 기판은 반도체, 광전기, 평면 패널 또는 LCD-TFT 소자 제작에 이용된 임의의 적합한 기판일 수 있다. 적합합 기판의 예에는 웨이퍼, 예컨대 실리콘, 실리카, 유리, 플라스틱 또는 GaAs 웨이퍼와 같은 웨이퍼가 포함된다. 웨이퍼는 이전의 제작 단계로부터 그 위에 침적된 상이한 물질의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼에는는 규소 층 (결정질, 무정형, 다공성 등), 산화규소 층, 질화 규소층, 규소 옥시 니트라이드 층, 탄소 도핑된 산화규소 (SiCOH) 층, 또는 이의 조합물이 포함될 수 있다. 추가적으로, 웨이퍼에는 구리 층 또는 귀금속 층 (예, 백금, 팔라듐, 로듐 또는 금) 이 포함될 수 있다. 웨이퍼는 배리어 층, 예컨대 망간, 산화망간 등을 포함할 수 있다. 플라스틱층, 예컨대 폴리(3,4-에닐렌디옥시티오펜)폴리(스티렌술포네이트) [PEDOT:PSS] 가 또한 이용될 수 있다. 층은 평면 또는 패턴이 있을 수 있다. 개시된 공정은 직접 웨이퍼 상에, 또는 웨이퍼 상부에서 하나 또는 하나 이상 (패턴화된 층이 기판을 형성하는 경우) 의 층에 V 족-함유층을 침적할 수 있다. 나아가, 당업자는 본원에서 이용된 용어 "필름" 또는 "층"이 표면 위에 펼쳐지거나 놓여진 일부 물질의 두께를 지칭하고, 표면은 트렌치 (trench) 또는 라인일 수 있다는 점을 인지할 것이다. 명세서 및 청구항 전반에 걸쳐, 웨이퍼 및 그에 대한 임의의 연관 층은 기판으로서 지칭된다. 예를 들어, 니오븀 니트라이드 필름은 Si 층 상에 침적될 수 있다. 후속의 프로세싱에서, 지르코늄 옥시드 층이 니오븀 니트라이드 층 위에 침적될 수 있고, 제 2 의 니오븀 니트라이드 층이 지르코늄 옥시드 층에 침적되어 DRAM 커패시터에서 이용되는 NbN/ZrO2/NbN 스택 (stack) 을 형성할 수 있다.
반응기 내 온도 및 압력은 증착에 적합한 조건에서 유지된다. 즉, 기화된 조성물을 챔버에 도입한 후, 챔버 내 조건은 전구체의 적어도 일부가 기판에 침적되어 V 족-함유 필름이 형성되는 조건이다. 예를 들어, 반응기 내 압력은 침적 매개변수에 따라 요구되는 바와 같이, 약 1 Pa 내지 약 105 Pa, 보다 바람직하게는 약 25 Pa 내지 약 103 Pa 에서 유지될 수 있다. 마찬가지로, 반응기 내 온도는 약 100 oC 내지 약 500 oC, 바람직하게는 약 150 oC 내지 약 400 oC 로 유지될 수 있다. 당업자는 "적어도 일부의 전구체가 침적된다" 는 것이 일부 또는 모든 전구체가 기판과 반응하거나 이에 부착되는 것을 의미한다는 것을 인지할 것이다.
기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 반응기의 온도를 제어할 수 있다. 기판을 가열하는데 사용한 소자는 당업계에 공지되어 있다. 반응기 벽을 충분한 온도로 가열하여, 충분한 성장 속도에서 및 원하는 물리적 상태 및 조성을 갖는 원하는 필름을 수득한다. 반응기 벽이 가열될 수 있는 비제한적인 예시적 온도 범위는 대략 100 oC 내지 대략 500 oC 를 포함한다. 플라스마 침적 공정을 이용하는 경우, 침적 온도는 대략 150 oC 내지 대략 400 oC 범위일 수 있다. 대안적으로, 가열 공정을 수행하는 경우, 침적 온도는 대략 200 oC 내지 대략 500 oC 범위일 수 있다.
개시된 V 족-함유 필름 형성 조성물에 추가로, 반응물이 반응기에 도입될 수 있다. 반응물은 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이의 수소 라디칼, 및 이의 혼합물일 수 있다. 바람직하게는, 반응물은 H2 또는 NH3 이다.
대안적으로, 반응물은 산화 기체 예컨대 O2, O3, H2O, H2O2, NO, N2O, NO2, 산소 함유 라디칼 예컨대 Oㆍ 또는 OHㆍ, 카르복실산, 포름산, 아세트산, 프로피온산, 및 이의 혼합물 중 하나일 수 있다. 바람직하게는, 산화 기체는 O2, O3 또는 H2O 로 이루어지는 군에서 선택된다.
반응물을 플라스마에 의해 처리하여, 반응물을 이의 라디칼 형태로 분해시킬 수 있다. N2 는 또한, 플라스마로 처리되는 경우 질소원 기체로서 이용될 수 있다. 예를 들어, 플라스마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 400 W 범위의 전력으로 생성될 수 있다. 플라스마는 반응기 자체 내에서 존재하거나 생성될 수 있다. 대안적으로, 플라스마는 통상 예를 들어 원격 플라스마 시스템에서, 반응기로부터 제거된 위치에 있을 수 있다. 당업자는 이러한 플라스마 처리에 적합한 방법 및 장치를 인지할 것이다.
예를 들어 반응물은, 반응 챔버에서 플라스마를 생성시키는 직접 플라스마 반응기에 도입되어, 반응 챔버에서 플라스마-처리된 반응물이 제조될 수 있다. 예시적인 직접 플라스마 반응기는 Trion Technologies 사에 의해 제조된 Titan™ PECVD System 을 포함한다. 반응물은 플라스마 프로세싱 전에 반응 챔버 내에 도입되고 유지될 수 있다. 대안적으로, 플라스마 프로세싱은 반응물 도입과 동시에 발생할 수 있다. 제자리 (In-situ) 플라스마는 통상, 샤워헤드 (showerhead) 와 기판 홀더 사이에서 생성되는 13.56 MHz RF 유도 결합형 플라스마이다. 기판 또는 샤워헤드는 양이온 효과가 발생하는지 여부에 따라 전력공급 전극일 수 있다. 제자리 플라스마 발생기에서의 통상적 적용 전력은 대략 30 W 내지 대략 1000 W 이다. 바람직하게는, 대략 30 W 내지 대략 600 W 의 전력이 개시된 방법에서 사용된다. 보다 바람직하게는, 전력은 대략 100 W 내지 대략 500 W 범위이다. 제자리 플라스마를 사용하는 반응물의 해리는 통상 동일한 전력 입력에 대해 원격 플라스마 공급원을 사용하여 이루어진 것보다 적으며, 따라서 플라스마에 의해 쉽게 손상된 기판 상의 V 족-함유 필름의 침적에 있어서 유리할 수 있는 원격 플라스마 시스템으로서 반응물 해리에 효율적이지 않다.
대안적으로, 플라스마-처리된 반응물은 반응 챔버 외부에서 생성될 수 있다. The MKS Instruments' ASTRONi® 반응성 기체 발생기를, 반응 챔버 내로 통과시키기 전에 반응물을 처리하는데 사용할 수 있다. 2.45 GHz, 7kW 플라스마 전력, 및 대략 0.5 Torr 내지 대략 10 Torr 범위의 압력에서 작동시켜, 반응물 O2 를 2 개의 Oㆍ 라디칼로 분해할 수 있다. 바람직하게는, 원격 플라스마는 약 1 kW 내지 약 10 kW, 보다 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 생성될 수 있다.
챔버 내 증착 조건은 개시된 조성물 및 반응물이 반응하고 기판 상 V 족-함유 필름을 형성시킬 수 있는 것이다. 일부 구현예에서, 출원인은 반응물을 플라스마-처리하는 것이, 개시된 전구체와 반응하는데 필요한 에너지를 갖는 반응물을 제공할 수 있다고 여기고 있다.
어떠한 유형의 필름이 침적될 필요가 있는지 여부에 따라, 추가적인 전구체 화합물이 반응기에 도입될 수 있다. 추가적인 전구체는 V 족-함유 필름에 추가 원소를 제공하는데 사용될 수 있다. 추가 원소는 란탄족 (이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 지르코늄, 게르마늄, 규소, 마그네슘, 티탄, 망간, 루테늄, 비스무트, 납, 마그네슘, 알루미늄, 또는 이들의 혼합물을 포함할 수 있다. 추가적인 전구체 화합물이 이용되는 경우, 기판 상에 침적된 생성 필름은 추가 원소와 조합으로 V 족 금속을 함유한다.
V 족-함유 필름 형성 조성물 및 반응물은 동시에 (화학적 증착), 순차적으로 (원자층 침적) 또는 이의 상이한 조합으로 반응기에 도입될 수 있다. 반응기는 조성물의 도입과 반응물의 도입 사이에 불활성 기체로 퍼징될 수 있다. 대안적으로, 반응물 및 조성물은 함께 혼합되어 반응물/조성물 혼합물을 형성한 후, 혼합물 형태로 반응기에 도입될 수 있다. 또 다른 예는 반응물을 연속적으로 도입하고 V 족-함유 필름 형성 조성물을 펄스에 의해 도입하는 것이다 (펄스화 화학적 증착 (pulsed chemical deposition)).
기화된 조성물 및 반응물은 반응기 내로 순차적으로 또는 동시에 펄스화될 수 있다 (예를 들어, 펄스화 CVD). 조성물의 각각의 펄스는 약 0.01 초 내지 약 10 초, 대안적으로는 약 0.3 초 내지 약 3 초, 대안적으로는 약 0.5 초 내지 약 2 초 범위의 기간 동안 지속될 수 있다. 또 다른 구현예에서, 반응물은 또한 반응기 내로 펄스화되어 흐를 수 있다. 이러한 구현예에서, 각각의 펄스는 약 0.01 초 내지 약 10 초, 대안적으로는 약 0.3 초 내지 약 3 초, 대안적으로는 약 0.5 초 내지 약 2 초 범위의 기간 동안 지속될 수 있다. 또 다른 대안예에서, 기화된 조성물 및 반응물은 여러 웨이퍼를 보유하는 서셉터 (susceptor) 가 그 아래에서 방사되는 샤워헤드로부터 동시에 분무될 수 있다 (공간 ALD).
특정 공정 매개변수에 따라, 침적이 다양한 기간 동안 일어날 수 있다. 일반적으로, 침적은 필요한 특성을 갖는 필름을 제조하는데 바람직하거나 필요한 것인 한, 지속될 수 있다. 통상적 필름 두께는, 특정한 침적 공정에 따라 수 옹스트롬 내지 수백 마이크론으로 가변적일 수 있다. 침적 공정은 또한 원하는 필름을 수득하는데 필요한 만큼 여러 번 수행될 수 있다.
한 비제한적인 예시적 CVD 공정에서, 개시된 V 족-함유 필름 형성 조성물의 기상 및 반응물은 반응기에 동시에 도입된다. 상기 두 가지는 반응하여 결과의 V 족-함유 필름을 형성한다. 이러한 예시적 CVD 공정에서의 반응물이 플라스마로 처리되는 경우, 예시적 CVD 공정은 예시적 PECVD 공정이 된다. 반응물은 챔버 내로 도입되기 전, 또는 도입된 후에 플라스마로 처리될 수 있다.
한 비제한적인 예시적 ALD 공정에서, 개시된 V 족-함유 필름 형성 조성물의 기상은 반응기 내로 도입되며, 여기서 이는 적합한 기판과 접촉된다. 그런 다음, 과량의 조성물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거될 수 있다. 반응물 (예를 들어 NH3) 은 반응기 내로 도입되어, 여기서 자기-제어 방식으로 흡수 조성물과 반응한다. 임의의 과량 반응물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 원하는 필름이 V 족 질화물인 경우, 이러한 2-단계 공정으로 원하는 필름 두께가 제공될 수 있거나, 필요한 두께를 갖는 필름이 수득될 때까지 반복될 수 있다.
대안적으로, 원하는 필름이 V 족 전이 금속 및 제 2 원소를 함유하는 경우, 상기 2-단계 공정 이후 추가적인 전구체 화합물의 증기가 반응기에 도입될 수 있다. 추가적인 전구체 화합물은 V 족-함유 필름이 침적된다는 성질을 기반으로 하여 선택될 것이다. 반응기에 도입 후, 추가적인 전구체 화합물은 기판과 접촉된다. 임의의 과량 전구체 화합물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 또 다시, 반응물이 반응기에 도입되어 전구체 화합물과 반응할 수 있다. 과량의 반응물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 원하는 필름 두께가 얻어지는 경우, 공정을 종료될 수 있다. 그러나 더 두꺼운 필름이 필요한 경우, 전체 4-단계 공정이 반복될 수 있다. V 족-함유 필름 형성 조성물, 추가적인 전구체 화합물 및 반응물을 교대로 제공함으로써, 원하는 조성 및 두께의 필름이 침적될 수 있다.
이러한 예시적 ALD 공정에서 반응물이 플라스마로 처리되는 경우, 예시적 ALD 공정은 예시적 PEALD 공정이 된다. 반응물은 챔버 내에 도입되기 전, 또는 도입된 후에 플라스마로 처리될 수 있다.
제 2 의 비제한적인 예시적 ALD 공정에서, 예를 들어 니오븀 (t부틸 이미도) 트리스(3,5-디이소프로필피라졸릴) (Nb(=NtBu)(iPr,H,iPr-Pyr)3) 을 포함하는 니오븀-함유 필름 형성 조성물 중 하나의 기상이 반응기에 도입되며, 여기서 이는 Si 기판과 접촉된다. 과량의 조성물은 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거될 수 있다. 반응물 (예를 들어 NH3) 이 반응기에 도입되며, 여기서 이는 자기-제한적 방식으로 흡수 조성물과 반응하여 니오븀 니트라이드 필름을 형성한다. 과량의 NH3 기체는 반응기를 퍼징 및/또는 소개시킴으로써 반응기로부터 제거된다. 이러한 2 단계는 니오븀 니트라이드 필름이 통상 10 옹스트롬에 가까운 원하는 두께를 얻을 때까지 반복될 수 있다. ZrO2 는 NbN 필름 상에 침적될 수 있다. 예를 들어, ZrCp(NMe2)3 은 Zr 전구체로서 역할할 수 있다. Nb(=NtBu)(iPr,H,iPr-Pyr)3 및 NH3 을 사용하는 상기 기재한 두 번째 비제한적인 예시적 ALD 공정은 ZrO2 층에 대해 반복될 수 있다. 생성된 NbN/ZrO2/NbN 스택은 DRAM 커패시터에서 사용될 수 있다.
상기 기재한 공정으로 발생한 V 족-함유 필름은 M, MkSil, MnOm, MoNp 또는 MoNpOq 를 포함할 수 있으며, 이때 M 은 V, Nb 또는 Ta 이고 k, l, m, n, o, p 및 q 는 각각 독립적으로 1 내지 6 범위일 수 있다. 예시적인 필름은 NbO2, Nb2O5, NbN 및 NbON 을 포함한다. 당업자는 적절한 V 족-함유 필름 형성 조성물 및 반응물을 법적으로 선택함으로써, 원하는 필름 조성물을 수득할 수 있다는 것을 인지할 것이다.
원하는 필름 두께 수득시, 필름은 고온 어닐링 (thermal annealing), 퍼니스-어닐링 (furnace-annealing), 급속 고온 어닐링 (rapid thermal annealing), UV 또는 e-빔 경화 및/또는 플라스마 기체 노출과 같은 추가 프로세싱을 거칠 수 있다. 당업자는 이러한 추가 프로세싱 단계를 수행하는데 이용되는 시스템 및 방법을 인지하고 있다. 예를 들어, NbN 필름은 불활성 분위기, N-함유 분위기, 또는 이의 조합 하에, 대략 0.1 초 내지 대략 7200 초 범위의 시간 동안 대략 200 oC 내지 대략 1000 oC 범위의 온도에 노출될 수 있다. 불활성 분위기 또는 N-함유 분위기 하, 3600 초 동안 400 oC 의 온도가 가장 바람직하다. 생성된 필름은 보다 적은 불순물을 함유할 수 있으며, 따라서 향상된 밀도를 가짐으로써 향상된 누설 전류를 초래할 수 있다. 어닐링 단계는 침적 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 별개의 장치에서 수행되는 어닐링/플래시 어닐링 공정으로 반응 챔버로부터 제거될 수 있다. 상기 후-처리 방법 중 임의의 것 (특히 고온 어닐링) 은 NbN 필름의 탄소 오염을 감소시키는데 효과적인 것으로 발견되었다. 이는 결국 필름의 저항률을 개선시키는 경향이 있다.
어닐링 후, 개시된 임의의 공정에 의해 침적된 Nb-함유 필름은 대략 50 μohm.cm 내지 대략 1,000 μohm.cm 의 실온에서의 벌크 저항률을 가질 수 있다. 실온은 계절에 따라 대략 20 oC 내지 대략 28 oC 이다. 벌크 저항률은 또한 체적 저항률로도 알려져 있다. 당업자는 벌크 저항률이 통상 대략 50 nm 두께인 NbN 필름에 대해 실온에서 측정된다는 것을 인지할 것이다. 전자 전달 메커니즘의 변화로 인해, 벌크 저항률은 통상 더 얇은 필름에 대해 증가한다. 벌크 저항률은 또한 고온에서 증가한다.
또 다른 대안예에서, 개시된 조성물은 도핑 또는 주입제 (implantation agent) 로서 사용될 수 있다. 개시된 조성물의 일부는, 산화인듐 (In2O3) 필름, 이산화탄탈 (TaO2), 이산화니오븀 (NbO2) 필름, 이산화바나듐 (VO2) 필름, 산화티탄 필름, 산화구리 필름 또는 이산화주석 (SnO2) 필름과 같은 도핑되는 필름의 상부에 침적될 수 있다. V 족 금속은 어닐링 단계 동안 필름에 확산되어, V 족-도핑된 필름 {(Nb)In2O3, (Nb)VO2, (Nb)TiO, (Nb)CuO, (Nb)SnO2} 을 형성한다. 예를 들어, 그의 도핑 방법의 전체가 본원에 참조로 포함되는 US2008/0241575 (Lavoie et al.) 를 참조한다.
대안적으로는, 가변적 에너지 무선 주파수 사중극자 주입기를 사용하는 고에너지 이온 주입을, 개시된 조성물의 V 족 원소를 필름에 도핑하는데 사용할 수 있다. 예를 들어, 그의 주입법의 전체가 본원에 참조로 포함되는 [Kensuke et al., JVSTA 16(2) Mar/Apr 1998] 을 참조한다. 또 다른 대안예에서, 플라스마 도핑, 펄스화 플라스마 도핑 또는 플라스마 함침 (plasma immersion) 이온 주입은 개시된 조성물을 사용하여 수행될 수 있다. 예를 들어, 그의 도핑법의 전체가 본원에 참조로 포함되는 [Felch et al., Plasma doping for the fabrication of ultra-shallow junctions Surface Coatings Technology, 156 (1-3) 2002, pp. 229-236] 을 참조한다.
실시예
하기의 비제한적 실시예를 제공하여 본 발명의 구현예를 더 설명한다. 그러나, 실시예가 본원에 기재된 본 발명의 범주를 제한하는 것으로 의도되지는 않는다.
실시예 1: 니오븀 t부틸 이미도 시클로펜타디에닐 tAmyl-디아자디에닐의 합성
-78 oC 에서 30 mL 의 THF 중 Nb(=NtBu)Cl3(py)2 (2 g, 4.6 mmol) 의 용액에 나트륨 시클로펜타디에닐 용액 (2.4 mL, 2.0M, 4.8 mmol) 을 적가하였다. 혼합물을 실온에서 12 시간 동안 교반하였다. 색이 황색으로 변화하였다. tAmyl-디아자디엔 (0.9 g, 4.6 mmol) 및 순수 리튬 (80 mg, 11.5 mmol) 의 반응으로부터 제조된, THF 중 리튬 tAmyl-디아자디에닐의 신선한 적색 용액을 -78 oC 에서 첨가하였고, 혼합물은 암갈색으로 변화하였다. 실온에서 밤새 교반한 후, 용매를 진공 하 제거하고 생성물을 펜탄으로 추출하여 갈색 오일을 수득하였다. 물질을 이후 25 mTorr 에서 220 oC 까지 증류에 의해 정제하여, 0.23 g (11%) 의 갈색 오일을 수득하였다. 물질을 NMR 1H (δ, ppm, C6D6) 에 의해 분석하였다: 5.68 (s, 5H), 5.65 (s, 2H), 1.60 (m, 4H), 1.29 (s, 12H), 1.26 (s, 9H), 1.28 (t, 6H).
고체는 200 mL/분에서 질소가 흐르는 분위기 하 10 oC/분의 온도 상승률로 측정한 오픈-컵 (Open-Cup) TGA 분석 동안 1.6% 잔류 질량을 남겼다. 이러한 결과를 도 3 에 나타내며, 이는 온도 상승시 중량 손실 백분율을 설명하는 TGA 그래프이다.
첨부된 청구범위에 표현된 바와 같은 본 발명의 원칙과 범주 내에서, 본 발명의 본질을 설명하기 위해 본원에서 기재 및 설명한 부분의 세부사항, 물질, 단계 및 배치에 있어서 많은 추가적 변화가 당업자에 의해 생성될 수 있다는 것이 이해될 것이다. 따라서, 본 발명은 상기 주어진 실시예에서의 특정 구현예 및/또는 첨부된 도면에 제한되는 것으로 의도되지 않는다.

Claims (14)

  1. 하기 식을 갖는 전구체를 포함하는 V 족-함유 필름 형성 조성물:
    Figure pat00011

    [식 중, M 은 V, Nb 또는 Ta 이고, 각각의 R, R1, R2, R3, R4, R5, R6 및 R7 은 독립적으로 H, 알킬기 또는 R'3Si 이고, 이때 각각의 R' 는 독립적으로 H 또는 알킬기임].
  2. 제 1 항에 있어서, M = V 인 V 족-함유 필름 형성 조성물.
  3. 제 1 항에 있어서, M = Nb 인 V 족-함유 필름 형성 조성물.
  4. 제 1 항에 있어서, M = Ta 인 V 족-함유 필름 형성 조성물.
  5. 제 1 항에 있어서, R 이 tBu 인 V 족-함유 필름 형성 조성물.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, R2-R5 가 H 이고 R1 이 H, Me, Et, iPr, tBu 또는 SiMe3 인 V 족-함유 필름 형성 조성물.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, R1, R3 및 R5 가 iPr 이고 R2 및 R4 가 H 인 V 족-함유 필름 형성 조성물.
  8. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, R6 및 R7 이 tAmyl인 V 족-함유 필름 형성 조성물.
  9. 제 8 항에 있어서, R1-R5 가 H 인 V 족-함유 필름 형성 조성물.
  10. 기판을 갖는 반응기에 제 1 항 내지 제 9 항 중 어느 한 항의 V 족-함유 필름 형성 조성물의 증기를 도입하고; 기판에 전구체의 적어도 일부를 침적시키는 것을 포함하는, V 족-함유 필름 형성 방법.
  11. 제 10 항에 있어서, 반응물을 반응기에 도입하는 것을 추가로 포함하는 방법.
  12. 제 10 항에 있어서, 기판이 유전체 층인 방법.
  13. 제 12 항에 있어서, 기판이 ZrO2 이고, V 족-함유 필름 형성 조성물이 니오븀-함유 필름 형성 조성물이고, DRAM 커패시터를 형성시키는, 방법.
  14. 제 10 항에 있어서, 전구체가 NbCp(=NtBu)(N(tAmyl)-CH-CH-N(tAmyl)) 또는 Nb(MeCp)(=NtBu)(N(tBu)-CH-CH-N(tBu)) 이고 반응물이 NH3 또는 O3 인 방법.
KR1020160046509A 2015-04-16 2016-04-15 V 족-함유 필름 형성 조성물 및 v 족-함유 필름의 증착 KR20160124028A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562148265P 2015-04-16 2015-04-16
US62/148,265 2015-04-16

Publications (1)

Publication Number Publication Date
KR20160124028A true KR20160124028A (ko) 2016-10-26

Family

ID=57128482

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160046509A KR20160124028A (ko) 2015-04-16 2016-04-15 V 족-함유 필름 형성 조성물 및 v 족-함유 필름의 증착

Country Status (2)

Country Link
US (3) US20160307904A1 (ko)
KR (1) KR20160124028A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230017669A (ko) * 2021-07-28 2023-02-06 한국화학연구원 박막 제조를 위한 신규한 유기 금속 화합물

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6803460B2 (ja) * 2016-09-08 2020-12-23 ユーピー ケミカル カンパニー リミテッド 5族金属化合物、その製造方法、それを含む膜蒸着用前駆体組成物、及びそれを用いる膜の蒸着方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10388721B2 (en) 2017-01-24 2019-08-20 International Business Machines Corporation Conformal capacitor structure formed by a single process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10752649B2 (en) 2017-04-07 2020-08-25 Applied Materials, Inc. Metal precursors with modified diazabutadiene ligands for CVD and ALD and methods of use
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200114865A (ko) 2019-03-29 2020-10-07 삼성전자주식회사 캐패시터를 포함하는 반도체 소자 및 그 제조 방법
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202237882A (zh) * 2021-03-02 2022-10-01 荷蘭商Asm Ip私人控股有限公司 用於形成包含釩及氧的層之方法及系統
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023200429A1 (en) * 2022-04-12 2023-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005033102A1 (de) * 2005-07-15 2007-01-25 H.C. Starck Gmbh Tantal- und Niob-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
DE102006037955A1 (de) 2006-08-12 2008-02-14 H.C. Starck Gmbh Tantal- und Niob-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230017669A (ko) * 2021-07-28 2023-02-06 한국화학연구원 박막 제조를 위한 신규한 유기 금속 화합물

Also Published As

Publication number Publication date
US20160307708A1 (en) 2016-10-20
US20160307904A1 (en) 2016-10-20
US20160307905A1 (en) 2016-10-20
US9691771B2 (en) 2017-06-27

Similar Documents

Publication Publication Date Title
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR102371411B1 (ko) 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
KR102492017B1 (ko) 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물
US20170107623A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
KR102514164B1 (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9786671B2 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US20160083405A1 (en) Tantalum- or vanadium-containing film forming compositions and vapor deposition of tantalum- or vanadium-containing films
KR102459746B1 (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이를 이용한 4족 함유막의 증착
US9790591B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
KR20220116524A (ko) V족 원소 함유 막 형성 조성물 및 v족 원소 함유 막의 기상 증착
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR102398823B1 (ko) 4족 전이금속 함유막의 기상 증착을 위한 4족 전이금속 함유막 형성 조성물