KR20160108305A - 아미도이민 리간드를 포함하는 금속 착물 - Google Patents

아미도이민 리간드를 포함하는 금속 착물 Download PDF

Info

Publication number
KR20160108305A
KR20160108305A KR1020167014210A KR20167014210A KR20160108305A KR 20160108305 A KR20160108305 A KR 20160108305A KR 1020167014210 A KR1020167014210 A KR 1020167014210A KR 20167014210 A KR20167014210 A KR 20167014210A KR 20160108305 A KR20160108305 A KR 20160108305A
Authority
KR
South Korea
Prior art keywords
group
metal
butyl
alkyl
hydrogen
Prior art date
Application number
KR1020167014210A
Other languages
English (en)
Other versions
KR102134200B1 (ko
Inventor
라비 칸졸리아
션 가랫
데이비드 톰슨
제프리 앤티스
Original Assignee
에스에이에프씨 하이테크 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스에이에프씨 하이테크 인코포레이션 filed Critical 에스에이에프씨 하이테크 인코포레이션
Publication of KR20160108305A publication Critical patent/KR20160108305A/ko
Application granted granted Critical
Publication of KR102134200B1 publication Critical patent/KR102134200B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/06Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton
    • C07C251/08Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F13/00Compounds containing elements of Groups 7 or 17 of the Periodic Table
    • C07F13/005Compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 하나 이상의 아미도이민 리간드를 포함하는 금속 착물, 이러한 금속 착물의 제조 방법, 및 이러한 금속 착물을 사용하여 금속-함유 필름을 제조하는 방법에 관한 것이다.
Figure pct00030
Figure pct00031
Figure pct00032
Figure pct00033
Figure pct00034

Description

아미도이민 리간드를 포함하는 금속 착물{METAL COMPLEXES CONTAINING AMIDOIMINE LIGANDS}
본 발명은 일반적으로, 하나 이상의 아미도이민 리간드를 포함하는 금속 착물, 이러한 금속 착물의 제조 방법, 및 이러한 금속 착물을 사용하여 금속-함유 박막을 제조하는 방법에 관한 것이다.
다양한 전구체들이 박막을 형성하는 데 사용되며, 여러 가지 증착 기술들이 이용되어 왔다. 이러한 기술로는, 반응성 스퍼터링(reactive sputtering), 이온-보조 증착, 졸-겔 증착, CVD(유기금속 CVD 또는 MOCVD로도 알려져 있음) 및 ALD(원자층 에피탁시(atomic layer epitaxy)로도 알려져 있음)를 포함한다. CVD 및 ALD 공정은, 양호한 조성 조절, 높은 필름 균일성, 양호한 도핑 조절의 이점들을 가지기 때문에 이들의 사용이 점점 증가하고 있으며, 유의미하게는, 이들은 현대의 마이크로전자 장치와 연관된 고도의 비-평면형의 기하학적 구조에 우수한 컨포말 스텝 커버리지(conformal step coverage)를 제공한다.
CVD는, 전구체가 기판 표면상에 박막을 형성하는 데 사용되는 화학 공정이다. 전형적인 CVD 공정에서, 전구체는 저압 또는 주위 압력 반응 챔버에서 기판(예, 웨이퍼(wafer)) 표면 위를 통과한다. 전구체는 기판 표면상에서 반응 및/또는 분해되어, 증착된 물질의 박막을 형성한다. 휘발성 부산물은 반응 챔버를 통해 유동하는 기체에 의해 제거된다. 증착된 필름의 두께는, 온도, 압력, 기체 유동 부피 및 균일성, 화학제 고갈 효과(chemical depletion effect) 및 시간과 같은 다수의 파라미터들의 조화에 따라 다르기 때문에, 조절하기 어려울 수 있다.
ALD도 또한, 박막의 증착 방법이다. ALD는, 정확한 두께 조절을 제공하며 다양한 조성들의 기판 표면상으로 전구체에 의해 제공되는 물질의 컨포말(conformal) 박막을 증착시킬 수 있는 자가-제한적(self-limiting)이며, 순차적이고 독특한 필름 성장 기술이다. ALD에서, 전구체는 반응 동안에 분리된다. 제1 전구체는 기판 표면 위를 통과하여, 기판 표면상에 단층을 형성한다. 과량의 미반응 전구체는 모두 반응 챔버로부터 펌핑되어 나온다. 그런 다음, 제2 전구체가 기판 표면 위를 통과하고, 제1 전구체와 반응하여, 기판 표면상에 형성된 제1 필름 단층 위로 제2 필름 단층을 형성한다. 이러한 사이클을 반복하여, 요망되는 두께의 필름을 형성한다.
박막, 특히 금속-함유 박막은 나노기술 및 반도체 소자의 제작과 같은 여러 가지 중요한 적용들을 가진다. 이러한 적용의 예로는, 고 굴절률 광학 코팅(high-refractive index optical coating), 부식-보호 코팅(corrosion-protection coating), 광촉매적 자가-세정 유리 코팅(photocatalytic self-cleaning glass coating), 생체친화성 코팅(biocompatible coating), 전계-효과 트랜지스터(field-effect transistor; FET)에서의 유전체 커패서티 층(dielectric capacitor layer) 및 게이트 유전체 절연 필름(gate dielectric insulating film), 커패시터 전극(capacitor electrode), 게이트 전극(gate electrode), 접착제 확산 장벽(adhesive diffusion barrier) 및 집적 회로를 포함한다. 유전체 박막은 또한, DRAM(dynamic random access memory) 적용을 위한 고-κ 유전성 산화물(dielectric oxide) 및 적외선 탐지기와 NV-FeRAM(non-volatile ferroelectric random access memory)에 사용되는 강유전성 페로브스카이트(ferroelectric perovskite)와 같이 마이크로전자 적용에 사용된다. 마이크로전자 부품의 크기가 계속해서 작아짐에 따라, 개선된 박막 기술에 대한 필요성이 증가하고 있다.
망간-함유 박막의 제조와 관련된 기술이 특히 흥미롭다. 예를 들어, 망간-함유 필름은 촉매, 배터리, 메모리 장치, 디스플레이, 센서, 나노전자 및 마이크로전자와 같은 영역들에서 다수의 실질적인 적용들을 확인하였다. 전자 적용의 경우, 망간 원소 금속 또는 망간 니트라이드 필름은, 구리 배선이 그 하부의 이산화규소 기판 내로 확산되는 것을 방지하도록, 장벽 층(예, 자가-형성 확산 장벽 층)으로서 작용할 수 있다. 다른 금속 시스템을 기본 성분으로 하는 장벽 층이 구리 원자의 확산을 저해하는 데 이용될 수 있기는 하지만, 이러한 시스템의 경우 상당한 문제들이 존재한다. 예를 들어, 탄탈륨 니트라이드는 약 10 Å보다 두꺼운 필름 두께 - 이러한 필름이 연속성을 나타내는 두께 -에서 적절한 구리 확산 장벽을 제공하며, 더 얇은 탄탈륨 니트라이드 필름은 연속성이 없으며, 그런 의미에서 적절한 확산 장벽 특성을 제공하지 않는다. 이는, 더 얇은 확산 장벽이 필요한 더 작은 노드 장치(node device)(약 32 nm 미만)에서는 상당한 장애가 된다. 망간 니트라이드 확산 장벽이 차세대 장치의 백-엔드-오브-라인(back-end-of-line) 구리 배선에서 탄탈륨계 확산 장벽에 대한 매력적인 대안일 수 있음을 제시하는 증거들이 존재한다. 그러나, 고 품질 및/또는 고 순도의 망간 원소 필름 또는 망간 니트라이드 필름을 제공할 수 있는 망간 전구체의 예들은 거의 없다. 잠재적인 망간 전구체 후보물질은 종종, 불량한 증기압 및 반응 속도의 문제가 있으며, 및/또는 바람직하지 못한 형태를 가진 망간-함유 필름을 제공한다. 이에, 망간 니트라이드 필름 및 다른 망간-함유 필름을 제조하기 위한 기상 증착에서 전구체 물질로서 적절하게 사용할 수 있게 하는 성능 특징들을 가진 망간 착물의 개발에 상당한 관심이 존재한다. 예를 들어, 성능 특징이 개선된 망간 전구체(예, 이로부터 제조되는 필름의 열적 안정성, 증기압, 증착 속도, 및 장벽 특성)가 필요하며, 이러한 전구체로부터 박막을 증착시키는 방법도 필요하다.
일 측면에 따르면, 본 발명은 식 I의 금속 착물을 제공하며:
Figure pct00001
상기 식 I에서, R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이고; L은 하나 이상의 리간드를 포함한다.
식 I의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 I의 금속 착물의 일부 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다. 다른 구현예에서, R2 및 R2'는 각각 메틸이다.
식 I의 금속 착물의 일부 구현예에서, L은 하나 이상의 한자리(monodentate) 리간드 또는 두자리(bidentate) 리간드를 포함한다. 이러한 구현예에서, L은 예를 들어, 아미도이민 리간드, 다이아자부타다이엔(DAD) 리간드, 아미디네이트(amidinate; AMD) 리간드 또는 알릴 리간드일 수 있다. 하나 이상의 구현예에서, L은 η3-알릴 리간드이다.
또 다른 측면에 따르면, 본 발명은 식 IA의 금속 착물을 제공하며:
Figure pct00002
상기 식 IA에서, R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 IA의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 IA의 금속 착물의 일부 구현예에서, R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다.
식 IA의 금속 착물의 일부 구현예에서, R2, R2', R6 및 R6'는 각각 메틸이다.
일부 구현예에서, 식 IA의 금속 착물은 R1 = R5, R2 = R6, R2' = R6', 및 R3 = R4가 되게 하는 동종 리간드 착물(homoleptic complex)이다.
또 다른 측면에 따르면, 본 발명은 식 IB의 금속 착물을 제공하며:
Figure pct00003
상기 식 IB에서, R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 IB의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 IB의 금속 착물의 일부 구현예에서, R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다.
식 IB의 금속 착물의 일부 구현예에서, R2 및 R2'는 각각 메틸이다.
식 IB의 금속 착물의 일부 구현예에서, R8 및 R9은 각각 수소이다.
또 다른 측면에 따르면, 본 발명은 식 IC의 금속 착물을 제공하며:
Figure pct00004
상기 식 IC에서, R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 IC의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 IC의 금속 착물의 일부 구현예에서, R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다.
식 IC의 금속 착물의 일부 구현예에서, R2 및 R2'는 각각 메틸이다.
또 다른 측면에 따르면, 본 발명은 식 ID의 금속 착물을 제공하며:
Figure pct00005
상기 식 ID에서, R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; R14, R14', R15, R16 및 R16'는 독립적으로 수소, 알킬, 아릴 및 실릴로 이루어진 군으로부터 선택되고; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 ID의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 ID의 금속 착물의 일부 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되고; R14, R14', R15, R16 및 R16'는 수소, C1-C4-알킬, C6-C10-아릴 및 트리(C1-C4-알킬)실릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되고; R14, R14', R15, R16 및 R16'는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸, 페닐 및 트리메틸실릴로 이루어진 군으로부터 선택된다.
식 ID의 금속 착물의 일부 구현예에서, R2 및 R2'는 각각 메틸이다.
또 다른 측면에서, 식 I, IA, IB, IC 또는 ID의 금속 착물들 중 임의의 금속 착물의 용매화물이 제공된다. 일부 구현예에서, 용매화물은 금속 착물의 금속 중심에 배위결합된(연결된) 용매를 포함한다. 일부 구현예에서, 용매화물은 에테르 용매화물, 아민 용매화물 또는 탄화수소 용매화물이다.
본 발명의 다른 측면들은 본원에 기술된 금속 착물 - 식 I, IA, IB, IC 또는 ID의 금속 착물 포함 -의 제조 방법, 이러한 금속 착물로의 중간산물의 제조 방법, 및 금속-함유 필름을 제공하기 위해 이러한 금속 착물을 전구체 물질로서 이용하는 기상 증착(vapor phase deposition) 방법에 관한 것이다.
도 1은, M이 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리인 식 I의 금속 착물의 다양한 구현예들을 예시한다.
도 2는, 도 1에 예시된 식 I의 금속 착물들 중 임의의 금속 착물에 결합될 수 있는 리간드 L의 다양한 구현예들을 임의로 조합하여 예시한다.
본 발명의 몇몇 예시적인 구현예들을 기술하기 전에, 본 발명은 하기 상세한 설명에 나타내는 제조 또는 공정 단계들에 대한 상세한 사항들로 한정되지 않음을 이해해야 한다. 본 발명은 다른 구현예들을 다양한 방식들로 시행 또는 수행할 수 있다. 또한, 금속 착물 및 다른 화학적 화합물은 특정 입체화학을 가진 구조식을 사용하여 본원에 예시될 수 있음을 이해해야 한다. 이들 예시는 단지 예일 뿐이며, 개시되는 구조를 임의의 특정 입체화학으로 한정하려는 것이 아니어야 한다. 그보다는, 예시된 구조는 지시된 화학식을 가진 이러한 모든 금속 착물 및 화학적 화합물을 포함하고자 한다.
다양한 측면들에서, 금속 착물, 이러한 금속 착물의 제조 방법, 및 이러한 금속 착물을 사용하여 기상 증착 공정을 통해 금속-함유 박막을 형성하는 방법이 제공된다.
본원에 사용되는 바와 같이, 용어 "금속 착물"(또는 보다 간략하게는, "착물") 및 "전구체"는 상호호환적으로 사용되며, ALD 또는 CVD와 같은 기상 증착 공정에 의해 금속-함유 필름을 제조하는 데 사용될 수 있는 금속-함유 분자 또는 화합물을 지칭한다. 금속 착물은 기판 또는 기판의 표면상에 증착, 흡착, 분해, 전달 및/또는 통과되어, 금속-함유 필름을 형성할 수 있다. 하나 이상의 구현예에서, 본원에 개시되는 금속 착물은 망간 착물이다.
본원에 사용되는 바와 같이, 용어 "금속-함유 필름"은 하기에 보다 상세히 정의되는 바와 같은 금속 원소 필름뿐만 아니라, 하나 이상의 원소와 함께 금속을 포함하는 필름, 예를 들어 금속 옥사이드 필름, 금속 니트라이드 필름, 금속 실리사이드(silicide) 필름 등을 포함한다. 본원에 사용되는 바와 같이, 용어 "금속 원소 필름" 및 "순수한 금속 필름"은 상호호환적으로 사용되며, 순수한 금속으로 구성되거나 또는 순수한 금속으로 본질적으로 구성된 필름을 지칭한다. 예를 들어, 금속 원소 필름은 순수한 금속을 100% 포함할 수 있거나, 또는 금속 원소 필름은 순수한 금속 약 90% 이상, 약 95% 이상, 약 96% 이상, 약 97% 이상, 약 98% 이상, 약 99% 이상, 약 99.9% 이상 또는 약 99.99% 이상을 하나 이상의 불순물과 함께 포함할 수 있다. 문맥상 다르게 지시되지 않는 한, 용어 "금속 필름"은 금속 원소 필름을 의미하는 것으로 이해되어야 한다. 일부 구현예에서, 금속-함유 필름은 망간 원소 필름이다. 다른 구현예에서, 금속-함유 필름은 망간 옥사이드, 망간 니트라이드 또는 망간 실리사이드 필름이다. 이러한 망간-함유 필름은 본원에 기술된 다양한 망간 착물들로부터 제조될 수 있다.
본원에 사용되는 바와 같이, 용어 "기상 증착 공정"은 CVD 및 ALD를 포함하지만 이들로 한정되지 않는 임의의 유형의 기상 증착 기술을 지칭하는 데 사용된다. 다양한 구현예에서, CVD는 종래의(즉, 연속 유동) CVD, 액체 주입 CVD 또는 광-보조(photo-assisted) CVD의 형태를 취할 수 있다. CVD는 또한, 펄스드 기술, 즉, 펄스드 CVD의 형태를 취할 수도 있다. 다른 구현예에서, ALD는 종래의(즉, 펄스드 주입) ALD, 액체 주입 ALD, 광-보조 ALD, 플라즈마-보조 ALD 또는 플라즈마-증강 ALD의 형태를 취할 수 있다. 용어 "기상 증착 공정"은 Chemical Vapour Deposition: Precursors, Processes, and Applications; Jones, A. C.; Hitchman, M. L., Eds. The Royal Society of Chemistry: Cambridge, 2009; Chapter 1, pp 1-36에 기술된 다양한 기상 증착 기술들을 추가로 포함한다.
용어 "알킬"(단독으로 또는 또 다른 용어(들)와 조합하여)은 길이가 1개 내지 약 12개 탄소 원자의 포화된 탄화수소 사슬을 지칭하며, 예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 옥틸, 데실 등을 포함하지만, 이들로 한정되는 것은 아니다. 알킬기는 직쇄 또는 분지쇄일 수 있다. "알킬"은 알킬기의 모든 구조 이성질체 형태를 포함하고자 한다. 예를 들어, 본원에 사용되는 바와 같이, 프로필은 n-프로필 및 이소프로필 둘 다를 포함하며; 부틸은 n-부틸, sec-부틸, 이소부틸 및 tert-부틸을 포함한다. 나아가, 본원에 사용되는 바와 같이, "Me"는 메틸을 지칭하며, "Et"는 에틸을 지칭하며, "i-Pr"은 이소프로필을 지칭하며, "t-Bu"는 tert-부틸을 지칭하고, "Np"는 네오펜틸을 지칭한다. 일부 구현예에서, 알킬기는 C1-C8-알킬기 또는 C1-C4-알킬기이다.
본원에 기술되는 임의의 금속 착물과 관련하여, 용어 "용매화물"은 금속 착물에 화학양론적 양 또는 비-화학양론적 양의 용매가 결합되어 추가로 포함된 금속 착물을 지칭한다. 예를 들어, 용매는 금속 착물의 금속 중심에 공유 결합될 수 있거나(예, 리간드로서), 또는 그렇지 않다면, 예를 들어 비-공유성 분자간 힘을 통해 금속 착물에 결합될 수 있다(예, 결정화 용매로서).
본원에 개시되는 모든 금속 착물들은 하나 이상의 아미도이민 리간드를 포함한다. 주어진 금속 착물이 2개 이상의 아미도이민 리간드, 예를 들어 2개의 아미도이민 리간드를 포함하는 경우, 아미도이민 리간드는 각각의 경우에 동일하거나 또는 상이할 수 있다. 아미도이민 리간드는 식 II의 화합물로 표시되는 바와 같이, 형식적으로 음이온성인 아민기(즉, 아미도기) 및 형식적으로 중성인 이민기를 특징으로 하며:
Figure pct00006
상기 식 II에서, R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택된다. 아미도이민 리간드는 아미도기 및 이민기의 질소 원자를 통해 금속 착물의 금속 중심에 배위결합된다. 본원에 추가로 기술되는 바와 같이, 금속 착물은 하나 이상의 아미도이민 리간드 외에도, 금속 중심에 결합된 다른 리간드를 포함할 수 있다. 임의의 특정 이론으로 결부시키고자 하는 것은 아니지만, 이러한 아미도이민 리간드는 아미도 및 베타-다이이민의 이점, 예컨대 모든 질소 결합 및 금속 중심 안정화를 제공할 것으로 여겨진다. 동시에, 아미도이민 리간드는 상대적으로 약한 이민-금속 결합으로 인해, 더 불안정한 것으로 생각된다. 이러한 면에서, 이러한 아미도이민 리간드의 금속 착물은 다양한 기상 증착 공정들에서 금속-함유 박막의 제조를 위한 우수한 후보물질이다.
따라서, 일 측면에 따르면, 본 발명은 식 I의 금속 착물을 제공하며:
Figure pct00007
상기 식 I에서, R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이고; L은 하나 이상의 리간드를 포함한다.
식 I의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 I의 금속 착물의 일부 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다. 다른 구현예에서, R2 및 R2'는 각각 메틸이다.
일부 구현예에서, R1, R2, R2' 및 R3 중 2개, 3개 또는 4개는 독립적으로 알킬, 예를 들어, C1-C4-알킬이다. 특정한 구현예에서, R1, R2, R2' 및 R3 중 2개, 3개 또는 4개는 메틸이다.
일부 구현예에서, R1 및 R3 중 하나 이상은 분지형 알킬, 예를 들어, 이소프로필 또는 tert-부틸이다. 다른 구현예에서, R1 및 R3는 각각 독립적으로 분지형 알킬이다.
식 I의 금속 착물에서, L은 한자리, 두자리 또는 여러자리일 수 있는 하나 이상의 리간드를 포함한다. 따라서, L은 식 I의 금속 착물에 예시적으로 도시된 아미도이민 리간드 외에도, 1개, 2개, 3개 또는 그 이상의 리간드를 나타낼 수 있으며, 이들은 각각 각 경우에 동일하거나 상이할 수 있다. 아미도이민 리간드 이외에, 주어진 금속 착물에 존재하는 리간드의 수는 특정 리간드의 아이덴터티(identity) 및 특정 금속 중심의 아이덴터티를 비롯한 다양한 인자들에 따라 다를 것이다. 일부 구현예에서, L은 예를 들어, 아미도이민 리간드(예, 제2 아미도이민 리간드), 다이아자부타다이엔(DAD) 리간드, 아미디네이트(AMD) 리간드, 알릴 리간드 또는 이들의 임의의 치환된 유도체일 수 있다. 특정 구현예에서, L은 η3-알릴 리간드이다. 다른 구현예에서, L은 하나 이상의 질소 원자를 통해 결합된 리간드이다. 보다 다른 구현예에서, 식 I의 금속 착물의 금속 중심은 오로지 질소 원자에만 결합된다.
또 다른 측면에 따르면, 본 발명은 식 IA의 금속 착물로 표시될 수 있는, 2개의 아미도이민 리간드를 포함하는 금속 착물을 제공하며:
Figure pct00008
상기 식 IA에서, R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 IA의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 IA의 금속 착물의 일부 구현예에서, R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다.
식 IA의 금속 착물의 일부 구현예에서, R2, R2', R6 및 R6'는 각각 메틸이다.
일부 구현예에서, 식 IA의 금속 착물은 R1 = R5, R2 = R6, R2' = R6' 및 R3 = R4가 되게 하는 동종 리간드 금속 착물이다. 다르게 언급하자면, 금속 착물의 아미도이민 리간드는 각각 동일하다.
또 다른 측면에 따르면, 본 발명은 식 IB의 금속 착물로 표시될 수 있는, 아미도이민 리간드 및 다이아자부타다이엔 리간드를 포함하는 금속 착물을 제공하며:
Figure pct00009
상기 식 IB에서, R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 IB의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 IB의 금속 착물의 일부 구현예에서, R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다.
식 IB의 금속 착물의 일부 구현예에서, R2 및 R2'는 각각 메틸이다.
식 IB의 금속 착물의 일부 구현예에서, R8 및 R9은 각각 수소이다.
식 IB의 금속 착물의 일부 구현예에서, R7 및 R10은 각각 알킬, 예를 들어, C1-C4-알킬이다.
또 다른 측면에 따르면, 본 발명은 식 IC의 금속 착물로 표시될 수 있는, 아미도이민 리간드 및 아미디네이트 리간드를 포함하는 금속 착물을 제공하며:
Figure pct00010
상기 식 IC에서, R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 IC의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 IC의 금속 착물의 일부 구현예에서, R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택된다.
식 IC의 금속 착물의 일부 구현예에서, R2 및 R2'는 각각 메틸이다.
또 다른 측면에 따르면, 본 발명은 식 ID의 금속 착물로 표시될 수 있는, 아미도이민 리간드 및 η3-알릴 리간드를 포함하는 금속 착물을 제공하며:
Figure pct00011
상기 식 ID에서, R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며; R14, R14', R15, R16 및 R16'는 독립적으로 수소, 알킬, 아릴 및 실릴로 이루어진 군으로부터 선택되고; M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이다.
식 ID의 금속 착물의 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다.
식 ID의 금속 착물의 일부 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, C1-C4-알킬, C6-C10-아릴로 이루어진 군으로부터 선택되고; R14, R14', R15, R16 및 R16'는 독립적으로 수소, C1-C4-알킬, C6-C10-아릴 및 트리(C1-C4-알킬)실릴로 이루어진 군으로부터 선택된다. 특정 구현예에서, R1, R2, R2' 및 R3는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되고; R14, R14', R15, R16 및 R16'는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸, 페닐 및 트리메틸실릴로 이루어진 군으로부터 선택된다.
식 ID의 금속 착물의 일부 구현예에서, R2 및 R2'는 각각 메틸이다.
식 ID의 금속 착물의 일부 구현예에서, R14, R14', R15, R16 및 R16'는 각각 η3-알릴 리간드가 비치환되도록 수소이다. 다른 구현예에서, η3-알릴 리간드는 C1-C4-알킬기(즉, R14, R14', R15, R16 및 R16' 중 오로지 1개만 알킬기인 한편, 나머지 기들은 각각 수소임)와 같은 알킬기로 일치환된다. 보다 다른 구현예에서, R14 및 R16 은 독립적으로 실릴이고, R14' 및 R16'는 각각 수소이다.
식 I, IA, IB, IC 또는 ID의 금속 착물을 비롯하여 본원에 개시된 상기 금속 착물 중 임의의 금속 착물은 용매화물로서 제공될 수 있다. 예를 들어, 하나 이상의 용매 분자는 예를 들어, 금속 중심에 부가적인 리간드 또는 리간드들로서 배위결합함으로써 금속 착물에 결합될 수 있다. 당업자가 이해하게 될 바와 같이, 용매화물은 금속 착물의 합성, 금속 착물의 단리 및/또는 금속 착물의 정제 공정에서 형성될 수 있다. 일부 구현예에서, 용매화물은 에테르 용매화물, 아민 용매화물 또는 탄화수소 용매화물이다.
식 I, IA, IB, IC 및 ID의 금속 착물(및 이들의 용매화된 형태)은 흥미로운 특정 금속 착물의 아이덴터티에 따라 임의의 수의 방법들에 의해 제조될 수 있다. 일반적으로, 금속 착물은, 다른 리간드 또는 리간드 전구체와의 선택적인 추가 반응 또는 공동-반응이 수반되어, 식 II의 리간드 또는 이의 컨쥬게이트 산과 적절한 금속 염(예, 7족 내지 10족 금속 염 또는 구리 염)과의 반응에 의해 제조될 수 있다. 당업자가 이해하게 될 바와 같이, 식 II의 리간드는 n-부틸리튬 또는 소듐 하이드라이드와 같은 적절한 염기를 사용하여 식 II의 리간드의 상응하는 컨쥬게이트 산을 탈보호함으로써 제조될 수 있다. 적절한 금속 염으로는, 금속 할라이드, 금속 슈도할라이드, 금속 니트레이트, 금속 설페이트, 금속 카르보네이트, 금속 아세테이트, 금속 알칸설포네이트 또는 금속 아렌설포네이트(예, 금속 트리플레이트, 금속 토실레이트), 금속 아미드, 금속 실릴아미드(예, 비스(트리알킬실릴아미도)금속, 예컨대 비스(트리알킬실릴아미도)망간)을 포함하지만, 이들로 한정되는 것은 아니다. 일부 구현예에서, 금속 염은 7족 내지 10족 금속 염 또는 구리 염이다. 특정 구현예에서, 금속 염은 망간 염, 예컨대 망간(II) 클로라이드, 망간(II) 브로마이드, 망간(II) 요오다이드, 망간(II) 니트레이트, 망간(II) 아세테이트, 망간(II) 설페이트, 망간(II) 카르보네이트, 망간(II) 퍼클로레이트, 망간(II) 트리플루오로메탄설포네이트 또는 비스(트리메틸실릴아미도)망간이다.
도식 1에 예시된 바와 같이, 비스(트리알킬실릴아미도)금속은 식 I, IA, IB, IC 및 ID의 금속 착물의 제조에 특히 유용하며; 이러한 금속 염은 일반적으로, 식 II의 리간드의 컨쥬게이트 산을 직접적으로 사용할 수 있게 할 정도로 충분히 염기성이다(다르게 언급하자면, 상응하는 컨쥬게이트 산의 탈보호를 통해 식 II의 리간드를 예비형성할 필요가 없음). 물론 당업자가 이해하게 될 바와 같이, 금속 할라이드와 같이 염기성이 낮은 금속 염(전형적으로, 리튬 염 또는 나트륨 염)은 식 II의 예비형성된 리간드와 함께 이용될 수 있다.
도식 1
Figure pct00012
Figure pct00013
Figure pct00014
Figure pct00015
본원에 기술되는 금속 착물은 일반적으로, 하나 이상의 용매의 존재하에 합성된다. 적절한 용매의 예로는, 에테르(예, 다이에틸 에테르, 테트라하이드로푸란, 1,2-다이메톡시에탄, 1,4-다이옥산 등) 및 방향족 탄화수소(예, 벤젠, 톨루엔 등)를 포함하지만, 이들로 한정되는 것은 아니다.
본원에 기술되는 금속 착물은, 이들이 당업계에 공지된 표준 기술을 사용하여 형성되고 선택적으로 정제되는 반응 혼합물로부터 단리될 수 있다. 이러한 기술로는 원심분리, 여과, 추출, 재결정화, 크로마토그래피, 승화, 증류 등을 포함하지만, 이들로 한정되는 것은 아니다. 특정 금속 착물의 제조 방식, 착물화(complexation) 반응에 사용되는 용매(들)의 아이덴터티, 및 단리 및 정제 방법에 따라, 금속 착물은 용매화된 형태로서 단리될 수 있다. 예를 들어, 금속 착물은 상기 용매들 중 임의의 용매의 용매화물, 또는 착물화 반응에서 형성되는 임의의 부산물의 용매화물로서 단리될 수 있다.
리간드 및 리간드 전구체(예, 아미도이민, 아미노이민, 다이아자부타다이엔, 아미딘, 아미디네이트 및 알릴 리간드 또는 관련된 리간드 전구체, 예컨대 도식 1에 도시된 것들)은 상업적으로 입수가능하거나, 또는 공지된 절차에 따라 합성될 수 있거나(예, Inorg . Chem . 2009, 48, 7639-7644), 또는 당업자의 지식에 포함되는 이러한 공지된 절차에 대한 간단한 변형을 통해 합성될 수 있다.
도 1은, M이 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리인, 식 I의 금속 착물의 다양한 구현예들을 예시한 것이다. 일부 구현예에서, M은 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택된다. 특정 구현예에서, M은 망간이다. 도 2는 식 IA, IB, IC 및 ID의 금속 착물을 제공하기 위해, 도 1에 예시된 식 I의 금속 착물들 중 임의의 금속 착물에 결합될 수 있는 리간드 L의 다양한 구현예들을 (임의로 조합하여) 예시한다.
본원에 제공되는 금속 착물은 부드러운 형태를 가진 망간 원소 및 망간 니트라이드 필름과 같은 금속-함유 필름의 제조에 사용될 수 있다. 따라서, 또 다른 측면에 따르면, 기상 증착 공정에 의한 금속-함유 필름의 형성 방법이 제공되며, 본 방법은, 식 I, IA, IB, IC, ID의 금속 착물 또는 이들의 용매화물과 같은 본원에 개시되는 금속 착물 중 하나 이상을 적용하는 단계를 포함한다. 필름-형성 방법은, 예를 들어, (1) 금속 착물을 증발시키는 단계 및 (2) 금속 착물을 기판 표면에 전달 및/또는 노출시키거나, 또는 금속 착물을 기판 표면 위로 통과시키는 단계(및/또는 하나의 금속 착물을 기판 표면상에서 분해시키는 단계) 포함할 수 있다.
다양한 기판들이 본원에 개시된 증착 방법에 사용될 수 있다. 예를 들어, 본원에 개시된 바와 같은 금속 착물은 규소, 결정질 규소, Si(100), Si(111), 규소 옥사이드, 유리, 변형된 규소, 절연체 상 규소(SOI), 도핑된 규소 또는 규소 옥사이드(들)(예, 탄소 도핑된 규소 옥사이드), 규소 니트라이드, 게르마늄, 갈륨 아르세나이드, 탄탈륨, 탄탈륨 니트라이드, 알루미늄, 구리, 루테늄, 티타늄, 티타늄 니트라이드, 텅스텐, 텅스텐 니트라이드, 및 나노규모 장치의 제작 공정(예, 반도체 제작 공정)에서 보편적으로 다루게 되는 임의의 수의 다른 기판들과 같은 여러 가지 기판 또는 기판의 표면에 전달되거나, 이들 위로 통과하거나, 또는 이들 상에 증착될 수 있다. 당업자가 이해하게 될 바와 같이, 기판은 기판 표면을 연마, 에칭, 환원, 산화, 수산화(hydroxylation), 어닐링(annealing) 및/또는 베이킹(baking)하는 전처리 공정에 노출될 수 있다. 하나 이상의 구현예에서, 기판 표면은 수소-종결 말단을 포함한다.
특정 구현예에서, 금속 착물은 기상 증착 공정을 촉진하기 위해 탄화수소 용매 또는 아민 용매와 같은 적절한 용매 내에서 용해될 수 있다. 적절한 탄화수소 용매로는, 헥산, 헵탄 및 노난과 같은 지방족 탄화수소; 톨루엔 및 자일렌과 같은 방향족 탄화수소; 및 다이글림(diglyme), 트리글림 및 테트라글림과 같은 지방족 및 환형 에테르를 포함하지만, 이들로 한정되는 것은 아니다. 적절한 아민 용매의 예로는, 옥틸아민 및 N,N-다이메틸도데실아민을 포함하지만, 이들로 한정되는 것은 아니다. 예를 들어, 금속 착물은 톨루엔에 용해되어, 약 0.05 M 내지 약 1 M 농도의 용액을 제공할 수 있다.
또 다른 구현예에서, 하나 이상의 금속 착물은 기판 표면에 "순수하게" (담체 기체에 의해 희석되지 않은 상태로) 전달될 수 있다.
일 구현예에서, 기상 증착 공정은 화학적 기상 증착이다.
또 다른 구현예에서, 기상 증착 공정은 원자 층 증착(atomic layer deposition)이다.
ALD 및 CVD 방법은 비제한적으로 연속 주입 공정 또는 펄스드 주입 공정, 액체 주입 공정, 광-보조 공정, 플라즈마-보조 공정 및 플라즈마-증강 공정과 같은 다양한 유형의 ALD 및 CVD 공정들을 포함한다. 확실히 하기 위해, 본 발명의 방법은 구체적으로 직접 액체 주입 공정을 포함한다. 예를 들어, 직접 액체 주입 CVD("DLI-CVD")에서, 고체 또는 액체 금속 착물은, 금속 착물을 증발시키기 수단으로서 증발화 챔버 내로 주입된 적절한 용매 및 이로부터 형성된 용액 내에서 용해될 수 있다. 그런 다음, 증발된 금속 착물은 기판 표면에 수송/전달된다. 일반적으로, DLI-CVD는 특히, 금속 착물이 상대적으로 낮은 휘발성을 나타내거나 또는 그렇지 않다면 증발하기 어려운 경우에 유용할 수 있다.
일 구현예에서, 종래의 CVD 또는 펄스드 CVD는 하나 이상의 금속 착물을 기판 표면 위로 증발시키고 및/또는 통과시킴으로써 금속-함유 필름을 형성하는 데 사용된다. 종래의 CVD 공정에 대해서는, 예를 들어 Smith, Donald (1995). Thin-Film Deposition: Principles and Practice. McGraw-Hill을 참조한다.
일 구현예에서, 본원에 개시되는 금속 착물에 대한 CVD 성장 조건은 하기 a 내지 g를 포함하지만, 이들로 한정되는 것은 아니다:
a. 기판 온도: 50℃ 내지 600℃
b. 증발기 온도 (금속 전구체 온도): 0℃ 내지 200℃
c. 반응기 압력: 0 Torr 내지 100 Torr
d. 아르곤 또는 질소 담체 기체 유속: 0 sccm 내지 500 sccm
e. 산소 유속: 0 sccm 내지 500 sccm
f. 수소 유속: 0 sccm 내지 500 sccm
g. 진행 시간: 요망되는 필름 두께에 따라 다를 것임.
또 다른 구현예에서, 광-보조 CVD는 본원에 개시되는 하나 이상의 금속 착물을 기판 표면 위로 증발시키고 및/또는 통과시킴으로써 금속-함유 필름을 형성하는 데 사용된다.
추가적인 구현예에서, 종래의(즉, 펄스드 주입) ALD는 본원에 개시되는 하나 이상의 금속 착물을 기판 표면 위로 증발시키고 및/또는 통과시킴으로써 금속-함유 필름을 형성하는 데 사용된다. 종래의 ALD 공정에 대해서는, 예를 들어, George S. M., et al. J. Phys. Chem., 1996, 100, 13121-13131을 참조한다.
또 다른 구현예에서, 액체 주입 ALD는 본원에 개시되는 하나 이상의 금속 착물을 기판 표면 위로 증발시키고 및/또는 통과시킴으로써 금속-함유 필름을 형성하는 데 사용되며, 여기서, 하나 이상의 금속 착물은, 증기가 버블러(bubbler)에 의해 유도되는 것과는 대조적으로, 직접 액체 주입에 의해 반응 챔버에 전달된다. 액체 주입 ALD 공정에 대해서는, 예를 들어, Potter R. J., et al., Chem . Vap . Deposition, 2005, 11(3), 159-169를 참조한다.
본원에 개시되는 금속 착물에 대한 ALD 성장 조건은 하기 a 내지 g를 포함하지만, 이들로 한정되는 것은 아니다:
a. 기판 온도: 0℃ 내지 400℃
b. 증발기 온도(금속 전구체 온도): 0℃ 내지 200℃
c. 반응기 압력: 0 Torr 내지 100 Torr
d. 아르곤 또는 질소 담체 기체 유속: 0 sccm 내지 500 sccm
e. 반응 기체 유속: 0 sccm 내지 500 sccm
f. 펄스 시퀀스(pulse sequence)(금속 착물/퍼지/반응 기체/퍼지): 챔버 크기에 따라 다를 것임
g. 사이클 수: 요망되는 필름 두께에 따라 다를 것임.
또 다른 구현예에서, 광-보조 ALD는 본원에 개시되는 하나 이상의 금속 착물을 기판 표면 위로 증발시키고 및/또는 통과시킴으로써 금속-함유 필름을 형성하는 데 사용된다. 광-보조 ALD 공정에 대해서는, 예를 들어 미국 특허 4,581,249를 참조한다.
또 다른 구현예에서, 플라즈마-보조 ALD는 본원에 개시되는 하나 이상의 금속 착물을 기판 표면 위로 증발시키고 및/또는 통과시킴으로써 금속-함유 필름을 형성하는 데 사용된다.
또 다른 구현예에서, 기판 표면상에 금속-함유 필름을 형성하는 방법은, ALD 공정 동안에, 기판을 본원에 기술된 하나 이상의 구현예에 따라 기상(vapor phase) 금속 착물에 노출시켜, 금속 중심(예, 망간)에 의해 표면에 결합된 금속 착물을 포함하는 표면상에 층이 형성되는 단계; ALD 공정 동안에, 결합된 금속 착물을 가진 기판을 공동-반응물에 노출시켜, 결합된 금속 착물과 공동-반응물(co-reactant) 간에 교환 반응이 발생하게 하여, 결합된 금속 착물을 해리시키고, 기판 표면상에 금속 원소로 된 제1 층을 형성하는 단계; 및 순차적으로 ALD 공정 및 처리를 반복하는 단계를 포함한다.
반응 시간, 온도 및 압력은 금속-표면 상호작용을 유도하고, 기판 표면상에 층을 달성하도록 선택된다. ALD 반응에 대한 반응 조건은 금속 착물의 특성을 토대로 선택될 것이다. 증착은 대기압에서 수행될 수 있지만, 보다 보편적으로는 감압에서 수행된다. 금속 착물의 증기압은 이러한 적용에 실질적일 정도로 충분히 낮아야 한다. 기판 온도는, 표면에서 금속 원자들 사이의 결합을 온전하게 유지시키고, 기체 반응물의 열적 분해를 방지하기에 충분할 정도로 높아야 한다. 그러나, 기판 온도는 또한, 기체상에서 공급원 물질(즉, 반응물)을 유지시키고, 표면 반응에 충분한 활성화 에너지를 제공할 정도로 충분히 높아야 한다. 적절한 온도는 사용되는 특정 금속 착물 및 압력을 비롯한 다양한 파라미터들에 따라 다르다. 본원에 개시되는 ALD 증착 방법에 사용되기 위한 특정 금속 착물의 특성은 당업계에 공지된 방법을 사용하여 평가될 수 있으며, 반응에 적절한 온도 및 압력을 선택할 수 있게 한다. 일반적으로, 리간드 구(sphere)의 회전 엔트로피를 증가시키는 관능기의 존재 및 저분자량은 전형적인 전달 온도 및 증가된 증기압에서 액체를 제공하는 용융점을 초래한다.
증착 방법에 사용하기에 최적화된 금속 착물은 충분한 증기압, 선택된 기판 온도에서의 충분한 열적 안정성, 및 박막에서 원하지 않는 불순물을 생성하지 않으면서 기판 표면상에 반응을 유도하기에 충분한 반응성에 대한 요건들을 모두 가질 것이다. 충분한 증기압은, 공급원 화합물의 분자가 완전한 자가-포화 반응을 하기에 충분한 농도로 기판 표면에 존재하도록 보장한다. 충분한 열적 안정성은, 공급원 화합물이 박막에 불순물을 생성하는 열적 분해를 받지 않게 될 것임을 보장한다.
따라서, 이들 방법에 이용되는 본원에 개시되는 금속 착물은 액체, 고체 또는 기체일 수 있다. 전형적으로, 금속 착물은 증기를 공정 챔버에 일관적으로 수송하기에 충분한 증기압 및 주위 온도에서 액체 또는 고체이다.
일 구현예에서, 금속 원소, 금속 니트라이드, 금속 옥사이드 또는 금속 실리사이드 필름은 본원에 개시된 바와 같은 하나 이상의 금속 착물을 독립적으로 또는 공동-반응물과 조합하여 증착시키기 위해 전달됨으로써 형성될 수 있다. 이러한 면에서, 공동-반응물은 독립적으로 또는 하나 이상의 금속 착물과 조합하여 기판 표면에 증착 또는 전달 또는 표면 위를 통과할 수 있다. 쉽게 이해하게 될 바와 같이, 사용되는 특정 공동-반응물은 수득되는 금속-함유 필름의 유형을 결정할 것이다. 이러한 공동-반응물의 예로는, 수소, 수소 플라즈마, 산소, 공기, 물, 알코올, H2O2, N2O, 암모니아, 하이드라진, 보란, 실란, 오존 또는 이들 중 둘 이상의 조합을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 알코올의 예로는, 메탄올, 에탄올, 프로판올, 이소프로판올, tert-부탄올 등을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 보란의 예로는, 하이드릭(hydridic)(즉, 환원성) 보란, 예컨대 보란, 다이보란, 트리보란 등을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 실란의 예로는, 하이드릭 실란, 예컨대 실란, 다이실란, 트리실란 등을 포함하지만, 이들로 한정되는 것은 아니다. 적절한 하이드라진의 예로는, 하이드라진(N2H4), 하나 이상의 알킬기로 선택적으로 치환된 하이드라진(즉, 알킬-치환된 하이드라진), 예컨대 메틸하이드라진, tert -부틸하이드라진, N,N-다이메틸하이드라진 또는 N,N '-다이메틸하이드라진, 하나 이상의 아릴기로 선택적으로 치환된 하이드라진(즉, 아릴-치환된 하이드라진), 예컨대 페닐하이드라진 등을 포함하지만, 이들로 한정되는 것은 아니다.
일 구현예에서, 본원에 개시되는 금속 착물은 산소-함유 공동-반응물의 펄스와 교대되는 펄스로 기판에 전달되어, 금속 옥사이드 필름을 형성한다. 이러한 산소-함유 공동-반응물의 예로는, H2O, H2O2, O2, 오존, 공기, i-PrOH, t-BuOH 또는 N2O를 포함하지만, 이들로 한정되는 것은 아니다.
다른 구현예에서, 공동-반응물은 수소와 같은 환원 시약을 포함한다. 이러한 구현예에서, 금속 원소 필름이 수득된다. 특정 구현예에서, 금속 원소 필름은 순수한 금속으로 구성되거나, 또는 순수한 금속으로 본질적으로 구성된다. 이러한 순수한 금속 필름은 금속을 약 80% 초과, 85% 초과, 90% 초과, 95% 초과 또는 98% 초과로 포함할 수 있다. 보다 더 특정한 구현예에서, 금속 원소 필름은 망간 필름이다.
다른 구현예에서, 공동-반응물은, 본원에 개시된 바와 같은 하나 이상의 금속 착물을 독립적으로 또는 공동-반응물과 조합하여 증착시키기 위해 반응 챔버에 전달됨으로써 금속 니트라이드 필름을 형성하는 데 사용되며, 공동-반응물의 예로는, 암모니아, 하이드라진 및/또는 다른 질소-함유 화합물(예, 아민)을 포함하지만, 이들로 한정되는 것은 아니다. 이러한 공동-반응물이 복수로 사용될 수 있다. 추가적인 구현예에서, 금속 니트라이드 필름은 식 MnNx의 망간 니트라이드 필름이며, 여기서, 변수 "x"는 약 0.1, 0.2 또는 0.25 내지 약 1, 2, 3 또는 4의 범위, 약 0.2 내지 약 2의 범위, 또는 약 0.25 내지 약 1의 범위에 있다.
또 다른 구현예에서, 혼합-금속 필름은, 본원에 개시되는 바와 같은 하나 이상의 금속 착물을, 본원에 개시되는 하나 이상의 금속 착물의 금속 이외의 금속을 포함하는 제2의 금속 착물과 조합하여 증발시키나 본질적으로는 동시에 증발시키는 것은 아닌, 기상 증착 공정에 의해 형성될 수 있다.
특정한 구현예에서, 본 발명의 방법은 메모리 및 로직 적용(memory and logic application)을 위한 동적 임의 접근 기억 장치(DRAM) 및 상보성 금속 옥사이드 반도체(CMOS)와 같은 적용을 위해 규소 칩과 같은 기판상에 이용된다.
본원에 개시되는 망간 착물은 망간 금속, 망간 옥사이드, 망간 니트라이드 및/또는 망간 실리사이드의 박막을 제조하는 데 사용될 수 있다. 이러한 필름은 산화 촉매, 애노드 물질(예, SOFC 또는 LIB 애노드), 전도 층, 센서, 확산 장벽/코팅, 초전도 물질/코팅 또는 비-초전도 물질/코팅, 마찰공학(tribological) 코팅 및/또는 보호 코팅으로서 적용될 수 있다. 당업자는, 필름 특성(예, 전도성)이 증착에 사용되는 금속(들), 공동-반응물 및/또는 공동-착물의 존재 또는 부재, 형성되는 필름 두께, 성장 및 후속적인 공정 동안에 이용되는 매배 변수 및 기판과 같은 다수의 인자들에 따라 다를 것이다.
특정 구현예에서, 증착된 망간 원소 또는 망간 니트라이드 필름은 현재 사용되는 탄탈륨 니트라이드를 대체하기 위해, 백-엔드-오브-라인 구리 배선에 대안적인 확산 장벽으로서 사용될 수 있다. 본원에 기술되는 증착 접근법은 탄탈륨 니트라이드의 증착과 통합되어, 망간-도핑된 탄탈륨 니트라이드 또는 망간 니트라이드로 도핑된 탄탈륨을 생성할 수 있다. 망간은 유전체 하부층과 반응하여, 망간 실리케이트를 장벽으로서 형성할 수 있다. 임의의 특정한 작동 이론으로 결부시키고자 하는 것은 아니지만, 망간 니트라이드는 구리와 유전체 사이에서 확산 장벽일 뿐만 아니라 이들 사이의 접착을 촉진하는 것으로 여겨진다. 따라서, 일부 구현예에서, 본 방법은 구리를 망간-함유 필름 위로 증착시키는 단계를 추가로 포함한다.
본 명세서 전체에서, "하나의 구현예", "특정 구현예", "하나 이상의 구현예", 또는 "일 구현예"에 대한 지칭은, 구현예와 관련하여 기술된 특정한 특성, 구조, 물질 또는 특징이 본 발명의 하나 이상의 구현예에 포함됨을 의미한다. 따라서, 본 명세서 전체의 다양한 문맥들에서 "하나 이상의 구현예에서," "특정한 구현예에서," "하나의 구현예에서" 또는 "일 구현예에서"와 같은 표현의 출현은 본질적으로 본 발명의 동일한 구현예를 지칭하는 것이 아니다. 더욱이, 특정한 특성, 구조, 물질 또는 특징은 하나 이상의 구현예에서 임의의 적절한 방식으로 조합될 수 있다.
본원에서 본 발명이 특정한 구현예를 참조로 하여 기술되었다 하더라도, 이들 구현예는 본 발명의 원리 및 적용을 예시하는 것일 뿐임을 이해해야 한다. 당업자는, 다양한 변형 및 변화들이 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치에 이루어질 수 있음을 알 것이다. 따라서, 본 발명은 첨부된 청구항 및 이들의 등가물의 범위 내에 포함되는 변형 및 변화들을 포함하고자 한다. 따라서, 일반적으로 기술되는 본 발명은 하기 실시예를 참조로 하여 보다 용이하게 이해될 것이며, 이러한 실시예는 예시로써 제공되며, 한정하려는 것이 아니다.
실시예
다르게 주지되지 않는 한, 모든 합성 조작들은 불활성 분위기(예, 정제된 질소 또는 아르곤) 하에 당업계에 보편적으로 공지된 공기-민감성 물질들을 취급하는 기술(예, Schlenk 기술)을 사용하여 수행한다.
실시예 1A: 착물 1(식 IA의 동종 리간드 착물)의 제조
Figure pct00016
톨루엔(200 mL) 중 비스(트리메틸실릴아미도)망간(10 g, 0.0266 mol)의 용액에, 트랜스퍼 캐뉼러(transfer cannular)에 의해 (t-Bu)NHCMe2CH=N(t-Bu)(10.6 g, 0.053 mol)를 첨가한다. 생성되는 혼합물을 24시간 동안 환류시킨다. 그런 다음, 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거하여, 착물 1을 수득하며, 이 착물 1을 감압 하에서의 증류 또는 승화에 의해 추가로 정제할 수 있다.
리간드 전구체 (t-Bu)NHCMe2CH=N(t-Bu)는 이소부티르알데하이드의 α-브로모화(예를 들어, 1,4-다이옥산-브롬 착물과의 α-브로모화), 및 후속해서 THF 중에서의 과량의 tert-부틸아민과의 반응에 의해 제조할 수 있다. 미정제 리간드 전구체를 표준 기술을 사용하여 단리 및 정제한다.
실시예 1B: 착물 2(식 IA의 이종 리간드 착물(heteroleptic complex))의 제조
Figure pct00017
톨루엔(200 mL) 중 비스(트리메틸실릴아미도)망간(10 g, 0.0266 mol)의 용액에, 트랜스퍼 캐뉼러에 의해 (t-Bu)NHCMe2CH=N(t-Bu)(5.3 g, 0.0266 mol)를 첨가한다. 생성되는 혼합물을 24시간 동안 환류시킨다. 그런 다음, 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거한다. 그런 다음, 생성되는 중간 산물을 톨루엔에 용해시키고, (i-Pr)NHCMe2CH=N(t-Bu)(4.9 g, 0.0266 mol))로 처리하여, 24시간 동안 더 환류시킨다. 그런 다음, 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거하여, 착물 2를 수득하며, 이 착물 2를 감압 하에서의 증류 또는 승화에 의해 추가로 정제할 수 있다.
리간드 전구체(i-Pr)NHCMe2CH=N(t-Bu)는 이소부티르알데하이드의 α-브로모화(예를 들어, 1,4-다이옥산-브롬 착물과의 α-브로모화), 및 후속해서 THF 중에서의 과량의 이소프로필아민과의 반응에 의해 제조할 수 있다. THF 중에서의 과량의 tert-부틸아민을 사용한 후속 처리에 의해 미정제 리간드 전구체를 수득하며, 이를 표준 기술을 사용하여 단리 및 정제한다.
실시예 2: 착물 3(식 IB의 착물)의 제조
Figure pct00018
톨루엔 중 비스(트리메틸실릴아미도)망간(10 g, 0.0266 mol)의 용액에, 트랜스퍼 캐뉼러에 의해 (t-Bu)NHCMe2CH=N(t-Bu) 리간드(5.3 g, 0.0266 mol)를 첨가한다. 생성되는 혼합물을 24시간 동안 환류시킨다. 그런 다음, 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거한다. 아르곤 하에, 중간 산물을 THF(100 mL)에 용해시키고, THF(100 mL) 중 Li[(t-Bu)N=CHCH=N(t-Bu)](4.7 g, 0.027 mol; THF에서 (t-Bu)N=CHCH=N(t-Bu) 및 새로 절단된 Li 금속으로부터 제조됨)의 용액을 첨가한다. 혼합물을 실온에서 밤새 교반한다. 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거하여, 착물 3을 수득하며, 이 착물 3을 감압 하에서의 증류 또는 승화에 의해 추가로 정제할 수 있다.
실시예 3: 착물 4(식 IC의 착물)의 제조
Figure pct00019
4
톨루엔(200 mL) 중 비스(트리메틸실릴아미도)망간(10 g, 0.0266 mol)의 용액에, 트랜스퍼 캐뉼러에 의해 (t-Bu)NHCMe2CH=N(t-Bu) 리간드(5.3 g, 0.0266 mol)를 첨가한다. 생성되는 혼합물을 24시간 동안 환류시킨다. 그런 다음, 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거한다. 그런 다음, 생성되는 중간 산물을 톨루엔에 용해시키고, (i-Pr)N=C(Me)NH(i-Pr)(3.8 g, 0.027 mol)로 처리하여, 24시간 동안 더 환류시킨다. 그런 다음, 용매 및 헥사메틸다이실라잔 부산물을 감압 하에 제거하여, 착물 4를 수득하며, 이 착물 4를 감압 하에서의 증류 또는 승화에 의해 추가로 정제할 수 있다.
실시예 4: 착물 5(식 ID의 착물)의 제조
Figure pct00020
-78℃에서 THF 중 망간 클로라이드(1 당량)의 현탁액에, THF 또는 다이에틸 에테르 중 K[CH2C(CH3)CH2] 또는 [CH2C(CH3)CH2]MgBr 2 당량을 첨가한다. 고체가 용해되고 색상 변화가 관찰될 때까지, 혼합물을 수 시간 동안 교반한다. 그런 다음, (t-Bu)NHCMe2CH=N(t-Bu) 리간드 1 당량을 주사기에 의해 첨가하고, 혼합물을 실온으로 서서히 가온시킨다. 그런 다음, 용매를 감압 하에 제거하고, 잔류물을 헥산으로 추출한다. 그런 다음, 혼합물을 캐뉼러에 의해 여과하고, 용매를 감압 하에 제거하여, 착물 5를 수득하며, 이 착물 5를 감압 하에서의 증류 또는 승화에 의해 추가로 정제할 수 있다.
실시예 5: 망간 원소 금속 필름의 증착
우선, 기판 표면을 원자 층 증착 챔버 내에 위치시킬 수 있다. 그런 다음, 기판 표면을 망간 전구체, 예를 들어 망간 착물 1 내지 5 중 하나와 접촉시킨다. 그런 다음, 과량의 미반응된 망간 전구체를 반응 챔버로부터 제거한다. 다음, 수소 기체를 챔버 내를 통해 기판 표면으로 유동시킨다. 기판 표면에 결합된 망간 전구체는 환원을 수행하여, 본질적으로 망간 금속으로 구성된 망간 필름이 수득된다. 그런 다음, 과량의 망간 전구체를 챔버로부터 제거한다. 요망되는 두께의 필름이 달성될 때까지, 과정을 반복할 수 있다.
실시예 6: 망간 니트라이드 필름의 증착
우선, 기판 표면을 원자 층 증착 챔버 내에 위치시킬 수 있다. 그런 다음, 기판 표면을 망간 전구체, 예를 들어 망간 착물 1 내지 5 중 하나와 접촉시킨다. 그런 다음, 과량의 미반응된 망간 전구체를 반응 챔버로부터 제거한다. 다음, 암모니아 기체를 챔버 내를 통해 기판 표면으로 유동시킨다. 기판 표면에 결합된 망간 전구체는 암모니아 기체와 반응하여, 망간 니트라이드를 포함하는 필름이 수득된다. 그런 다음, 과량의 망간 전구체를 챔버로부터 제거한다. 요망되는 두께의 필름이 달성될 때까지, 과정을 반복할 수 있다.
본 명세서에서 지칭되는 모든 공개, 특허 출원, 발행된 특허 및 다른 문서들은 각각의 개별 공개, 특허 출원, 발행된 특허 또는 다른 문서가 그 전체가 원용에 의해 포함되는 것으로 구체적이며 개별적으로 지시된 것처럼, 원용에 의해 본 명세서에 포함된다. 원용에 의해 포함된 내용에 포함된 정의는 이들이 본 개시내용의 정의와 상충하는 범위로 배제된다.
단어 "포함하다", "포함한다" 및 "포함하는"은 배제적이기보다는 포함하는 것으로 해석되어야 한다.

Claims (69)

  1. 식 I의 금속 착물:
    Figure pct00021

    상기 식 I에서,
    R1, R2, R2' 및 R3는 독립적으로 알킬 및 아릴로 이루어진 군으로부터 선택되며;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이고;
    L은 하나 이상의 리간드를 포함함.
  2. 제1항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  3. 제1항 또는 제2항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속 착물.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    L이 하나 이상의 한자리(monodentate) 리간드 또는 두자리(bidentate) 리간드를 포함하는 것을 특징으로 하는, 금속 착물.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    L이 아미도이민 리간드, 다이아자부타다이엔 리간드, 아미디네이트(amidinate) 리간드 또는 알릴 리간드를 포함하는 것을 특징으로 하는, 금속 착물.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    L이 η3-알릴 리간드를 포함하는 것을 특징으로 하는, 금속 착물.
  9. 식 IA의 금속 착물:
    Figure pct00022

    상기 식 IA에서,
    R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 알킬 및 아릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  10. 제9항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  11. 제9항 또는 제10항에 있어서,
    R1, R2, R2', R3, R4, R5, R6 및 R6'가 독립적으로 C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  12. 제9항 내지 제11항 중 어느 한 항에 있어서,
    R1, R2, R2', R3, R4, R5, R6 및 R6'가 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  13. 제9항 내지 제12항 중 어느 한 항에 있어서,
    R2, R2', R6 및 R6'가 각각 메틸인 것을 특징으로 하는, 금속 착물.
  14. 제9항 내지 제13항 중 어느 한 항에 있어서,
    상기 금속 착물이 동종 리간드 금속 착물(homoleptic metal complex)인 것을 특징으로 하는, 금속 착물.
  15. 식 IB의 금속 착물:
    Figure pct00023

    상기 식 IB에서,
    R1, R2, R2' 및 R3는 독립적으로 알킬 및 아릴로 이루어진 군으로부터 선택되며;
    R7, R8, R9 및 R10은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  16. 제15항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  17. 제15항 또는 제16항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되고;
    R7, R8, R9 및 R10이 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  18. 제15항 내지 제17항 중 어느 한 항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되고;
    R7, R8, R9 및 R10이 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  19. 제15항 내지 제18항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속 착물.
  20. 제15항 내지 제19항 중 어느 한 항에 있어서,
    R8 및 R9이 각각 수소인 것을 특징으로 하는, 금속 착물.
  21. 식 IC의 금속 착물:
    Figure pct00024

    상기 식 IC에서,
    R1, R2, R2', R3, R11, R12 및 R13은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  22. 제21항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  23. 제21항 또는 제22항에 있어서,
    R1, R2, R2', R3, R11, R12 및 R13이 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  24. 제21항 내지 제23항 중 어느 한 항에 있어서,
    R1, R2, R2', R3, R11, R12 및 R13이 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  25. 제21항 내지 제24항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속 착물.
  26. 식 ID의 금속 착물:
    Figure pct00025

    상기 식 ID에서,
    R1, R2, R2' 및 R3는 독립적으로 알킬 및 아릴로 이루어진 군으로부터 선택되며;
    R14, R14', R15, R16 및 R16'는 수소, 알킬, 아릴 및 실릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  27. 제26항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  28. 제26항 또는 제27항에 있어서,
    R1, R2, R2' 및 R3는 독립적으로 C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되고;
    R14, R14', R15, R16 및 R16'는 수소, C1-C4-알킬, C6-C10-아릴 및 트리(C1-C4-알킬)실릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  29. 제26항 내지 제28항 중 어느 한 항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되고;
    R14, R14', R15, R16 및 R16'가 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸, 페닐 및 트리메틸실릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속 착물.
  30. 제26항 내지 제29항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속 착물.
  31. 제1항 내지 제30항 중 어느 한 항에 따른 금속 착물의 용매화물.
  32. 제30항에 있어서,
    상기 용매화물이 에테르 용매화물, 아민 용매화물 또는 방향족 탄화수소 용매화물인 것을 특징으로 하는, 용매화물.
  33. 식 I의 구조에 상응하는 하나 이상의 금속 착물을 증발시키는(vaporizing) 단계를 포함하는, 기상 증착 공정(vapor deposition process)에 의한 금속-함유 필름의 형성 방법:
    Figure pct00026

    상기 식 I에서,
    R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리이고;
    L은 하나 이상의 리간드를 포함함.
  34. 제33항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  35. 제33항 또는 제34항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  36. 제33항 내지 제35항 중 어느 한 항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  37. 제33항 내지 제36항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  38. 제33항 내지 제37항 중 어느 한 항에 있어서,
    L이 하나 이상의 한자리 리간드 또는 두자리 리간드를 포함하는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  39. 제33항 내지 제38항 중 어느 한 항에 있어서,
    L이 아미도이민 리간드, 다이아자부타다이엔 리간드, 아미디네이트 리간드 또는 알릴 리간드를 포함하는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  40. 제33항 내지 제39항 중 어느 한 항에 있어서,
    L이 η3-알릴 리간드를 포함하는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  41. 식 IA의 구조에 상응하는 하나 이상의 금속 착물을 증발시키는 단계를 포함하는, 기상 증착 공정에 의한 금속-함유 필름의 형성 방법:
    Figure pct00027

    상기 식 IA에서,
    R1, R2, R2', R3, R4, R5, R6 및 R6'는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  42. 제41항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  43. 제41항 또는 제42항에 있어서,
    R1, R2, R2', R3, R4, R5, R6 및 R6'가 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  44. 제41항 내지 제43항 중 어느 한 항에 있어서,
    R1, R2, R2', R3, R4, R5, R6 및 R6'가 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  45. 제41항 내지 제44항 중 어느 한 항에 있어서,
    R2, R2', R6 및 R6'가 각각 메틸인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  46. 제41항 내지 제45항 중 어느 한 항에 있어서,
    상기 금속 착물이 동종 리간드 금속 착물인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  47. 식 IB의 구조에 상응하는 하나 이상의 금속 착물을 증발시키는 단계를 포함하는, 기상 증착 공정에 의한 금속-함유 필름의 형성 방법:
    Figure pct00028

    상기 식 IB에서,
    R1, R2, R2', R3, R7, R8, R9 및 R10은 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  48. 제47항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  49. 제47항 또는 제48항에 있어서,
    R1, R2, R2', R3, R7, R8, R9 및 R10이 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  50. 제47항 내지 제49항 중 어느 한 항에 있어서,
    R1, R2, R2', R3, R7, R8, R9 및 R10이 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  51. 제47항 내지 제50항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  52. 제47항 내지 제51항 중 어느 한 항에 있어서,
    R8 및 R9이 각각 수소인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  53. 제21항 내지 제25항 중 어느 한 항에 따른 구조에 상응하는 하나 이상의 금속 착물을 증발시키는 단계를 포함하는, 기상 증착 공정에 의한 금속-함유 필름의 형성 방법.
  54. 식 ID의 구조에 상응하는 하나 이상의 금속 착물을 증발시키는 단계를 포함하는, 기상 증착 공정에 의한 금속-함유 필름의 형성 방법:
    Figure pct00029

    상기 식 ID에서,
    R1, R2, R2' 및 R3는 독립적으로 수소, 알킬 및 아릴로 이루어진 군으로부터 선택되며;
    R14, R14', R15, R16 및 R16'는 수소, 알킬, 아릴 및 실릴로 이루어진 군으로부터 선택되고;
    M은 주기율표의 7족 내지 10족으로부터 선택되는 금속 또는 구리임.
  55. 제54항에 있어서,
    M이 망간, 코발트, 니켈 및 구리로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  56. 제54항 또는 제55항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 수소, C1-C4-알킬 및 C6-C10-아릴로 이루어진 군으로부터 선택되고;
    R14, R14', R15, R16 및 R16'가 수소, C1-C4-알킬, C6-C10-아릴 및 트리(C1-C4-알킬)실릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  57. 제54항 내지 제56항 중 어느 한 항에 있어서,
    R1, R2, R2' 및 R3가 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸 및 페닐로 이루어진 군으로부터 선택되고;
    R14, R14', R15, R16 및 R16'가 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, sec-부틸, tert-부틸, 페닐 및 트리메틸실릴로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  58. 제54항 내지 제57항 중 어느 한 항에 있어서,
    R2 및 R2'가 각각 메틸인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  59. 제33항 내지 제58항 중 어느 한 항에 있어서,
    상기 기상 증착 공정이 화학적 기상 증착인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  60. 제59항에 있어서,
    상기 화학적 기상 증착이 펄스드 화학적 기상 증착(pulsed chemical vapor deposition) 또는 연속 유동 화학적 기상 증착(continuous flow chemical vapor deposition)인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  61. 제59항에 있어서,
    상기 화학적 기상 증착이 액체 주입 화학적 기상 증착인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  62. 제33항 내지 제58항 중 어느 한 항에 있어서,
    상기 기상 증착 공정이 원자 층 증착(atomic layer deposition)인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  63. 제62항에 있어서,
    상기 원자 층 증착이 액체 주입 원자 층 증착(liquid injection atomic layer deposition) 또는 플라즈마-증강 원자 층 증착(plasma-enhanced atomic layer deposition)인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  64. 제33항 내지 제63항 중 어느 한 항에 있어서,
    상기 하나 이상의 금속 착물이, 산소 공급원의 펄스와 교대되는 펄스로 기판에 전달되어, 금속 옥사이드 필름을 형성하는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  65. 제64항에 있어서,
    상기 산소 공급원이 H2O, 공기, O2 및 오존으로 이루어진 군으로부터 선택되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  66. 제33항 내지 제65항 중 어느 한 항에 있어서,
    수소, 수소 플라즈마, 산소, 공기, 물, 암모니아, 하이드라진, 보란, 실란, 오존 및 이들의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 공동-반응물(co-reactant)을 증발시키는 단계를 추가로 포함하는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  67. 제33항 내지 제65항 중 어느 한 항에 있어서,
    하이드라진을 공동-반응물로서 증발시키는 단계를 추가로 포함하는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  68. 제67항에 있어서,
    상기 하이드라진이 하이드라진(N2H4) 또는 N,N-다이메틸하이드라진인 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
  69. 제33항 내지 제68항 중 어느 한 항에 있어서,
    상기 방법이 DRAM 또는 CMOS 적용에 사용되는 것을 특징으로 하는, 금속-함유 필름의 형성 방법.
KR1020167014210A 2013-10-28 2014-10-24 아미도이민 리간드를 포함하는 금속 착물 KR102134200B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361896218P 2013-10-28 2013-10-28
US61/896,218 2013-10-28
PCT/US2014/062108 WO2015065823A1 (en) 2013-10-28 2014-10-24 Metal complexes containing amidoimine ligands

Publications (2)

Publication Number Publication Date
KR20160108305A true KR20160108305A (ko) 2016-09-19
KR102134200B1 KR102134200B1 (ko) 2020-07-15

Family

ID=51900974

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167014210A KR102134200B1 (ko) 2013-10-28 2014-10-24 아미도이민 리간드를 포함하는 금속 착물

Country Status (9)

Country Link
US (1) US10221481B2 (ko)
EP (1) EP3063157B8 (ko)
JP (1) JP6596737B2 (ko)
KR (1) KR102134200B1 (ko)
CN (1) CN106232611A (ko)
IL (1) IL245039B (ko)
SG (1) SG11201603379XA (ko)
TW (1) TWI631125B (ko)
WO (1) WO2015065823A1 (ko)

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014193915A1 (en) 2013-05-28 2014-12-04 Sigma-Aldrich Co. Llc Manganese complexes and use thereof for preparing thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10745430B2 (en) 2014-03-13 2020-08-18 Merck Patent Gmbh Molybdenum silylcyclopentadienyl and silylallyl complexes and use thereof in thin film deposition
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6465699B2 (ja) * 2015-03-06 2019-02-06 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料、薄膜の製造方法及びジアザジエン化合物
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
EP3510038B1 (en) * 2016-09-09 2021-02-17 Merck Patent GmbH Metal complexes containing allyl ligands
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) * 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10106893B1 (en) 2017-04-07 2018-10-23 Applied Materials, Inc. Iridium precursors for ALD and CVD thin film deposition and uses thereof
WO2018187781A2 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Metal precursors with modified diazabutadiene ligands for cvd and ald applications and methods of use
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR101962355B1 (ko) 2017-09-26 2019-03-26 주식회사 한솔케미칼 열적 안정성 및 반응성이 우수한 기상 증착 전구체 및 이의 제조방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
EP3498721A1 (de) 2017-12-15 2019-06-19 Umicore Ag & Co. Kg Metallkomplexe mit triazenidoliganden und deren verwendungen zur abscheidung von metallen aus der gasphase
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) * 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110804731B (zh) * 2019-11-04 2020-11-06 江南大学 一种原子层沉积技术生长MnxN薄膜的方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113754816B (zh) * 2020-06-05 2023-04-11 中国石油化工股份有限公司 用于制备含羟基的烯烃共聚物的方法及其产物和应用
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120053479A (ko) * 2010-11-17 2012-05-25 주식회사 유피케미칼 다이아자다이엔계 금속 화합물, 이의 제조 방법 및 이를 이용한 박막 형성 방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07107190B2 (ja) 1984-03-30 1995-11-15 キヤノン株式会社 光化学気相成長方法
CA2274817A1 (en) 1997-01-14 1998-07-16 E.I. Du Pont De Nemours And Company Polymerization of olefins
WO2012027357A2 (en) * 2010-08-24 2012-03-01 Wayne State University Thermally stable volatile precursors
KR101924656B1 (ko) 2010-11-02 2018-12-03 우베 고산 가부시키가이샤 (아미드아미노알칸) 금속 화합물, 및 당해 금속 화합물을 사용한 금속 함유 박막의 제조 방법
CN102250152B (zh) 2011-05-26 2014-04-16 中山大学 胺基亚胺镍乙烯聚合催化剂的制备方法和应用
WO2012176989A1 (en) * 2011-06-24 2012-12-27 Up Chemical Co., Ltd. A diamine compound or its salt, preparing method of the same, and uses of the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120053479A (ko) * 2010-11-17 2012-05-25 주식회사 유피케미칼 다이아자다이엔계 금속 화합물, 이의 제조 방법 및 이를 이용한 박막 형성 방법

Also Published As

Publication number Publication date
JP2016540038A (ja) 2016-12-22
IL245039A0 (en) 2016-05-31
CN106232611A (zh) 2016-12-14
SG11201603379XA (en) 2016-05-30
WO2015065823A1 (en) 2015-05-07
TWI631125B (zh) 2018-08-01
KR102134200B1 (ko) 2020-07-15
US20160273106A1 (en) 2016-09-22
EP3063157A1 (en) 2016-09-07
US20180291503A2 (en) 2018-10-11
EP3063157B8 (en) 2019-07-10
JP6596737B2 (ja) 2019-10-30
TW201522350A (zh) 2015-06-16
US10221481B2 (en) 2019-03-05
IL245039B (en) 2019-08-29
EP3063157B1 (en) 2018-10-03

Similar Documents

Publication Publication Date Title
KR102134200B1 (ko) 아미도이민 리간드를 포함하는 금속 착물
US10914001B2 (en) Volatile dihydropyrazinly and dihydropyrazine metal complexes
KR101602984B1 (ko) 박막 침착을 위한 니오븀 및 바나듐 유기금속 전구체
WO2011017068A1 (en) High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
US20120145953A1 (en) LITHIUM PRECURSORS FOR LixMyOz MATERIALS FOR BATTERIES
KR101589777B1 (ko) 기재 상에 탄탈-함유 층의 형성 방법
US9034761B2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
EP3510038B1 (en) Metal complexes containing allyl ligands
KR20190082872A (ko) 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
US10155783B2 (en) Manganese complexes and use thereof for preparing thin films
KR20210058289A (ko) 텅스텐 전구체, 이의 제조방법 및 이를 이용한 텅스텐 함유 박막 및 이의 제조방법
KR102557277B1 (ko) 희토류 전구체, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
US20220411930A1 (en) Compounds And Methods For Selectively Forming Metal-Containing Films
WO2018086730A9 (en) Metal complexes containing cyclopentadienyl ligands
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant