KR20160066555A - 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템 - Google Patents

빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템 Download PDF

Info

Publication number
KR20160066555A
KR20160066555A KR1020167013801A KR20167013801A KR20160066555A KR 20160066555 A KR20160066555 A KR 20160066555A KR 1020167013801 A KR1020167013801 A KR 1020167013801A KR 20167013801 A KR20167013801 A KR 20167013801A KR 20160066555 A KR20160066555 A KR 20160066555A
Authority
KR
South Korea
Prior art keywords
substrate
transfer
arm
module
chamber
Prior art date
Application number
KR1020167013801A
Other languages
English (en)
Other versions
KR101887110B1 (ko
Inventor
크리스토퍼 호프마이스터
알렉산더 쥐. 크루피쉐브
율리시스 길크라이스트
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20160066555A publication Critical patent/KR20160066555A/ko
Application granted granted Critical
Publication of KR101887110B1 publication Critical patent/KR101887110B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • B25J9/043Cylindrical coordinate type comprising an articulated arm double selective compliance articulated robot arms [SCARA]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

기판 처리 시스템은 기판을 저장하고 운송하기 위한 최소 1개의 기판 컨테이너를 잡기 위해 구성된 로드 포트 모듈; 기판 처리 챔버; 기판 처리 챔버와 로드 포트 모듈을 결합하도록 구성되고, 격리된 공기를 유지할 수 있는 분리 가능한 이송 챔버; 이송 챔버로 고정된 구동부와 최소 1개의 기판을 유지하기 위해 구성된 SCARA 암을 가지고 있는 이송 챔버 내에 최소 일부가 실장된 기판 이송부, 상기 SCARA 암은 최소 1개의 기판 컨테이너와 최소 1개 기판에 1회 터치 기능을 가진 처리 챔버 사이에 최소 1개 기판을 운송하기 위해 구성되고, SCARA 암은 제1 암 링크, 제2 암 링크, 제1 암 링크와 제2 암 링크가 동일한 길이를 가지고 있지 않아서, 연속으로 서로에 선회 결합되는 적어도 1개의 끝 작동체를 포함하는 것을 특징으로 한다.

Description

빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템{Compact substrate transport system with fast swap robot}
본 실시예는 일반적으로 기판 이송 시스템과 관계된 것으로, 특히 기판 이송 장치의 로봇 이송 암에 관한 것이다.
반도체의 프로세싱은 종종 한 단계 툴에 의해 실행된 다중 프로세스 단계를 포함한다. 그러한 프로세싱 단계는 열처리, 냉각, 세척 뿐만 아니라 베벨 에지 프로세싱, 드라이 제거, 기판 위에 증착된 막의 포토 에칭을 포함한다.
각 프로세스 작동은 특별화된 프로세스 챔버에서 진공 상태로 실행된다. 반도체 기판의 일괄적 프로세싱은 각 프로세스의 정교성과 극단적인 청결성 유지를 위해 필요하기 때문에 일반적으로 개별적인 기판 프로세싱으로 대치가 된다. 이것은 각 프로세스 단계에서 프로세스 챔버가 진공 상태에서 흡착되고, 기판이 로드되고, 챔버가 밀봉되어 배출되어야 하기 때문에 시스템의 전체적인 작업 처리량이 제한되지만, 각 기판의 프로세싱 제어가 향상된다. 프로세싱 후에는 그 단계는 역으로 된다.
처리량을 개선하기 위하여, 프로세싱 챔버의 클러스터가 종래 방식으로 진공 상태에서 건조된 종래의 기판 이송 챔버 주변에 배치된다. 1개 이상의 로드 락 챔버는 슬릿 밸브를 통하여 이송 챔버로 연결되어, 이송 챔버는 전방 끝 모듈로 연결되고, 일반적인 다중 로드 포트 모듈은 전방 끝 유닛에 접속된다.
로드 락은 처리 될 기판의 카세트를 수용한다. 카세트는 시스템의 전방 끝 모듈에 위치한 전방 끝 배급 이송부에 의하여 로드 락으로 전달된다. 이러한 카세트를 포함하기 위하여 구성된 로드 락은 종속 출원과 동일하도록 소유되는 미국 특허 출원 제5,664,925에 명시되어 있다. '925 특허는 참조로서 본 명세서에서 완전히 구체화된다.
이런 방식으로 시스템 작업 처리량이 매우 증가하는 반면에 주기 시간은 감소된다. 처리와 이송 챔버는 진공 상태에서 로드 락이 회전하는 동안에만 지속적으로 유지가 된다. 로드 락은 공기가 분출되고 이송 챔버로부터 밀폐된 후에 처리가 되는 기판을 받는다. 이때 전방 끝 포트는 밀폐되고 로드 락은 이송 및 프로세싱 챔버를 진공으로 지속적으로 압축된다.
로보틱 이송 메커니즘은 이송 챔버 내에 실장되어 로드 락으로부터 기판을 제거하고 선별된 프로세스 챔버로 배급하기 위하여 작동한다. 프로세싱 후에, 기판은 로봇에 의하여 픽업되어 이송 챔버로부터 제거하기 위해 로드 락이나 또는 다음 프로세스 챔버로 이송된다. 몇몇 예로, 타이밍 목적을 위해 이들 시스템은 시스템을 통해 기판을 이송하는 동안, 다른 시간이나 로딩을 하기 전에 기판을 저장하기 위해 채택된 버퍼 스테이션을 사용할 수도 있다.
이런 형태의 시스템은 미국 특허 제5,882,413과 로보틱 이송 메커니즘의 예로서 개시된 미국 특허 제5,647,724에서 설명되어, 상기 특허들은 본 출원에서 일반적인 주체로 적용되며, 이들 특허 출원은 참조로서 본 출원에서 완전히 구체화된다.
기판은 직경이 200 mm까지 종래 클러스터형 시스템으로 효과적으로 처리될 수 있다. 종래 클러스터 툴의 크기는 종래 이송 챔버의 크기에 크게 좌우되어, 클러스터 툴의 처리 모듈과 각각 소통하여 실현될 수 있다. 더 나아가, 처리 기판의 직경이 300 mm, 450 mm 이상일 때 클러스터 시스템이 과도하게 커지고 직경이 커지는 경향이 있다. 2개 암 링크의 운송부를 가지고 있는 처리 시스템은 운송부의 연장 비율로의 억제율을 감소시키기위하여 사용될 수 있다. 그러나 기판의 크기나 직경이 늘어남에 따라서, 이송 단자의 2개 암 링크 각각의 길이는 늘어나고, 이에 따라 이송 챔버에서 암 동작을 수용하기 위한 볼륨은 늘어나게 된다.
처리 시간을 없애고 더욱 짧은 증착을 지원하도록, 막 두께가 감소하고 프로세스 장치 외형이 수축된다. 더욱 커진 볼륨 로드 락의 펌핑 다운(pumping-down)은 이것이 처리 시간보다 길어짐에 따라 시간을 없애고 더욱 짧은 증착에 상충될 수도 있다.
로드 락의 펌프 다운(pump-down) 시간을 단축하도록 하는 컴팩트 기판 이송 시스템을 가지는 것이 유리하다. 제품 설비 바닥 공간을 최대화시키도록 서로 인접하게 배치되는 다중 처리 모듈을 허용하는 기판 이송 시스템을 가지는 장점이 있다. 또한, 장비 전방 끝 모듈을 사용할 필요가 없는 처리 모듈을 가져, 로드 단자에 직접 접속할 수 있도록 하는 기판 이송 시스템을 가지는 장점도 있다.
기판 처리 시스템의 한 실시예가 제공된다.
기판 처리 시스템의 한 실시예가 제공된다. 기판 처리 시스템은 기판을 운송하고 저장하기 위한 적어도 1개의 기판 컨테이너를 유지하기 위해 구성된 로드 포트 모듈, 기판 처리 챔버, 기판 처리 챔버 및 로드 포트 모듈을 결합하기 위해 내부에 구성된 격리된 공기를 유지할 수 있도록 하는 격리된 이송 챔버, 적어도 1개의 기판을 지지하기 위하여 구성된 SCARA 암을 가지고 있고 이송 챔버로 고정되는 구동부가 있는 이송 챔버 내에 최소 부분적으로 실장된 기판 이송부, 최소 1개의 기판 컨테이너와 최소 1개 기판에 '1회 터치' 기능이 적용된 처리 챔버 사이에 최소 1개의 기판을 이송하기 위해 구성된 SCARA 암, 상기 SCARA 암은 제1 암 링크, 제2 암 링크, 제1 암 링크와 제2 암 링크가 동일한 길이를 가지고 있지 않아서, 연속으로 서로에 선회 결합되는 적어도 1개의 끝 작동체를 포함하는 것을 특징으로 한다.
기판 처리 시스템의 다른 실시예가 제공된다. 장비 전방 끝 모듈을 포함하는 기판 처리 시스템은 장비 제1 끝 모듈로부터 기판을 이송하기 위한 적어도 하나의 이송 통로를 가지고 있는 장비 전방 끝 모듈, 적어도 하나의 기판 이송 모듈이 장비 제1 끝 모듈로 직접 연결되고, 적어도 하나의 기판 처리 모듈은 적어도 하나의 기판 이송 모듈과 각기 연결되고, 서로 고립된 적어도 하나의 기판 처리 모듈형 독립 평행 이송 통로와 적어도 하나의 기판 이송 모듈, 클러스트 툴과 장비 제1 끝 모듈을 포함하는 기판 처리 시스템인 것을 특징으로 하는 기판 처리 시스템이다.
기판 이송 시스템의 또 다른 실시예가 제공된다. 기판 이송 시스템은 기판 컨테이너로부터 기판을 이송하기 위해 구성된 제1 끝 유닛, 상기 제1 끝 유닛으로 고정되는 이송 모듈, 상기 이송 모듈로 연결되는 기판 처리 챔버, 처리 챔버와 이전모듈 사이에 기판을 이송하기 위하여 구성되고 이송 모듈 내에 최소 일부가 실장된 이송 기판 및 제1 끝 유닛으로부터 기판을 이송하기 위한 상기 제1 끝 유닛의 이송 통로를 따라 대체로 일렬로 배치된 이송 모듈과 처리 챔버, 양암(arm) 링크와 적어도 한쪽 끝에 작동체가 서로 선회하도록 결합되고, 제1 길이를 가지는 제1 암 링크, 제1의 끝에서 이송 모듈의 하우징으로 선회, 결합되는 하나의 제1 암 링크, 제2 암 링크의 회전이 제1 암 링크의 회전에 종속되는 제2 길이를 가지는 제2 암 링크, 적어도 한쪽 끝의 작동체가 적어도 하나의 기판을 유지하기 위하여 구성되어 제2 암 링크의 두 번째 끝으로 선회하도록 결합되어, 적어도 한쪽 끝 작동체가 제1 링크와 제2 링크로부터 각기 회전적으로 구동되어, 이송 모듈에 실질적으로 고정된 구동부를 포함하는 기판 이송부를 특징으로 한다.
기판 처리 장치의 다른 양호한 실시예가 제공된다. 기판 처리 장치는 적어도 하나의 기판을 저장하기 위한 컨테이너와 이송 기판을 유지하기 위하여 구성된 제1 끝 모듈, 적어도 하나의 기판 처리 챔버, 제1 끝 모듈과 적어도 하나의 기판 처리 챔버 각기 1개와 연결하기 위하여 구성된 고립된 공기를 유지할 수 있도록 하는 적어도 하나의 격리된 이송 챔버, 적어도 하나의 기판을 지지하기 위하여 구성된 다른 길이의 SCARA 암을 가지고 있고 각기 이송 챔버에 실질적으로 고정된 구동부를 가지고 있는 적어도 하나의 이송 챔버 내에 적어도 부분적으로라도 실장된 기판 이송부, 제1 끝 모듈과 처리 챔버 사이에 적어도 하나의 기판을 이송하기 위해 구성된 SCARA 암, 적어도 하나의 기판 처리 챔버와 적어도 하나의 고립된 이송 챔버가 독립적이고 고립된 통로를 따라 최소 하나의 기판 처리 챔버와 전방 끝 모듈 사이에 기판을 운반하기 위하여 배치된 것을 특징으로 하는 제1 끝 모듈을 포함하는 것을 특징으로 한다.
또 다른 실시 예에 의한 방법이 제공된다. 해당 방법은, 기판 이송 시스템 내에 위치한 기판 이송 암을 가진 기판 처리 시스템의 로드 포트에 결합된 기판 컨테이너로부터 최소 1개의 기판을 가져오고, 기판 이송 암으로 적어도 1개의 기판을 직접 기판 컨테이너에서 기판 처리 시스템의 처리 모듈로 이송하는 방법을 포함하여, 적어도 1개의 기판은 이송 도중에 기판 이송 암에 의하여 일회 처리되는 것을 특징으로 한다.
본 발명에 의하면, 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템을 제공할 수 있다.
이와 같이 개시된 실시예의 특징과 기타 특성을 설명하기 위해 첨부되는 도면의 간단한 설명을 하기로 한다.
도 1A와 도 1B는 실시예에 의한 바람직한 기판 처리 시스템의 도면.
도 1C는 도 1A와 도 1B의 바람직한 기판 처리 시스템의 부분도.
도 2A와 도 2B는 실시예에 의한 다른 기판 처리 시스템의 도면.
도 3A-3D, 4A, 4B, 5A 및 5B는 실시예에 의한 바람직한 기판 처리 시스템의 부분을 나타내는 도면.
도 6과 도 7은 실시예에 의한 바람직한 이송 챔버의 도면.
도 8과 도 9는 도 6과 도 7의 이송 챔버의 단면도.
도 9A-D는 실시예에 의한 이송 챔버 모듈을 나타내는 도면.
도 10A-D는 실시예에 의한 이송 챔버 모듈을 나타내는 도면.
도 11A와 도 11B는 실시예에 의한 이송 챔버 모듈을 나타내는 도면.
도 12A와 도 12B는 다른 위치에서 기판 운송부를 가진 도 11A와 도 11B의 이송 챔버 모듈을 나타내는 도면.
도 13A는 실시예에 의한 기판 처리 시스템의 개략도.
도 13B는 실시예에 의한 모범적인 구동을 나타내는 도면.
도 14A -14C는 실시예에 의한 기판 운송부의 개략도.
도 15A와 도 15B는 실시예에 의한 기판 운송부의 부분 개략도.
도 16A-B와 17A-B는 실시예에 의한 기판 운반의 운반 통로를 나타내는 도면.
도 18은 실시예에 의한 바람직한 기판 운송 구성도.
도 19A-D, 20, 21, 22, 및 23은 실시예에 의한 기판 처리 시스템의 개략도.
도 24A-B는 실시예에 의한 플로우 다이아그램도면.
도 24C는 실시예에 의한 컨트롤 다이아그램도면.
도 25A-F는 실시예에 의한 다른 기판 처리 시스템을 나타내는 도면.
도 26은 실시예에 의한 다른 기판 처리 시스템을 나타내는 도면.
도 27A-C는 실시예에 의한 또 다른 기판 처리 시스템을 나타내는 도면.
이 출원은 2007년 5월 18일에 출원된 미국 임시 특허출원 제 60/938,913의 이익을 주장하고, 참조로서 본 출원에서 완전히 구체화된다.
도 1A-C에는 본 발명의 실시예에 의한 양호한 기판 처리 시스템이 도시되어 있다. 본 실시예는 도면에 도시한 실시예에 기준하여 설명되어도, 본 발명의 실시예는 많은 수정과 변경이 있을 수 있음을 이해해야 한다. 또한, 다른 적당한 크기, 형태나 구성 요소나 재료가 사용될 수 있다.
처리 시스템이 도 1A-C에 도시된 것처럼, 장비 제1 끝 모듈(EFEM)(150), 상기 EFEM(150)의 제1측에 연결된 로드 포트(151), EFEM의 두 번째 측에 연결된 로드 락(110), 이송 챔버(100)로 연결된 처리 모듈(120)과 로드 락(110)으로 결합된 이송 챔버(100)를 포함할 수 있는 클러스터 툴로서 참고될 수도 있다. 도 1A-1C에 도시한 배치는 단지 모범적인 것이고, 다른 실시예에서 툴은 다르게 배치될 수도 있다. 로드 락(110) 및 이송 챔버(100)는 이송 모듈(101)로서 참고될 수도 있다. 다른 실시예에서 로드 락(110)은 기판 버퍼와 대치될 수 있음을 유의해야 한다. 버퍼는 적당한 일정 버퍼일 수 있고 기판의 처리를 돕기 위한 도량형 시스템과 같은, 기판 냉각 특성이나 다른 적당한 특성이 포함될 수도 있다. 도면에 도시한 것처럼, 어댑터(130)는 처리 모듈(120), 이송 챔버(100) 및 로드 락(110)과 함께 연결하는 슬릿 밸브를 포함할 수도 있다. 다른 실시예에서 처리 모듈(120), 이송 챔버(100) 및 로드 락(110)은 다른 적당한 방법으로 연결될 수 있다. 본 예에서 처리 모듈(120)과 이송 챔버(100)는 예를 들어 진공 펌프(140)에 의한 진공 상태로 유지되고 기판 처리를 위한 진공으로 펌프 다운될 수 있는 밀봉체를 형성할 수도 있다. 로드 락은 진공과 약간의 압력 사이에서 전환될 수도 있다. 진공 펌프(140)는 로드 락(110)을 펌프 다운하기 위해 사용될 수도 있다. 또한 도면에 도시된 것처럼, 로드 락(110)은 로드 락(110)의 펌프 다운을 허용하도록 EFEM(150)의 공기로부터 로드 락 내부를 분리하기 위한 밸브(160)를 포함할 수도 있다. 대체 실시예에서, 처리 시스템은 EFEM 중재 없이 로드 포트에 연결하여, 진공 시스템처럼 이송 챔버/로드 락을 가질 수도 있다.
제1 기판 이송 로봇은 예를 들어, 기판 카세트가 밸브(160)를 통하여 로드 락(110)(또는 버퍼)으로 로드 포트(151) 위에 적재되어, 기판을 이송하기 위한 EFEM(15) 내에 적어도 부분적으로 수용되어질수있다. 제1 로봇은 그것이 작동하도록 로드 포트 모듈(151) 및/또는 로드 락(110)의 수에 의존하여 트랙에 실장되거나 고정될 수 있다. 이러한 형태의 운송 실시예가 미국에 특허 출원한 제6,002,840에 설명되어 있고, 참조로서 본 출원에서 완전히 구체화된다. 제1 로봇은 Z축 구동부를 포함하고(또는 포함하거나), 로드 포트는 카세트 승강기/인덱서를 포함할 수 있다. 제2 이송 로봇은 밸브(130)를 통하여 로드 락(110)과 처리 모듈(120) 사이에 기판 이송용 이송 챔버(100) 내에 최소 부분적으로 구성될 수도 있다. 이송 챔버(100)는 예를 들어 이송 챔버와 통신하는 로드 포트나 처리 모듈 폭 이하의 폭을 가질 수 있는 컴팩트한 형태일 수 있다. 제2 로봇은 어떤 다른 적합한 이송 로봇일 수 있으며, 하기에서 그 예를 상세히 설명하기로 한다. 기판은 주변 조건 하에 로드 락(110)으로 제1 이송 로봇에 의하여 이송될 수 있다. 로드 락(110)은 슬릿 밸브(130)의 작동을 통하여 닫히고, 진공 상태로 펌프되고, 이송 챔버(100)에 개방될 수 있다. 이러한 방법으로 기판은 훨씬 더 컴팩트한 시스템으로 처리 챔버(120)에 공급되어 질 수 있으며, 하기에서 더욱 상세히 설명한다. 컴팩트 이송 시스템의 또 다른 예는 2005년 4월 12일 출원한 발명의 명칭 "FAST SWAP DUAL SUBSTRATE TRANSPORT FOR LOAD LOCK"이라고 명명된 미국 공개 특허 제11/104,397에 기재되었고, 특허 등록 제6,918,731에서도 찾아 볼 수 있다.
도 1B에 최적으로 도시된 것처럼, 다중 처리 모듈(120)은 EFEM의 측면을 따라 순서대로 정렬될 수 있다. 본 예에서 기판 이송 시스템은 예를 들어, 현재 300mm 직경 기판과 관련된 EFEM(150)과 같은 현존하는 전면 끝 배급 시스템의 작동 통로 사이에 명기된 거리가 SEMI, 505mm(도 20 참조)를 넘지 않는 거리 D에서 처리 모듈이 배치될 수 있도록 형성할 수 있다. 다른 실시예에서 EFEM의 작동 경로 사이의 거리는 예를 들어, 200mm ~ 450mm의 기판 직경일 경우, 처리를 위하여 형성되는 시스템의 경우, 505mm보다 작거나 또는 그 이상일 수 있다. 대체 실시예에서 처리 모듈과 이에 대응하는 이송 시스템(예, 이송 시스템(101, 200)(도 1A 및2A-B))은 505mm보다 크거나 작은 거리와 같은 어떤 적당한 거리에 위치할 수 있다. 따라서, 실시예에서 클러스터 툴은 툴 폭이 처리 모듈이나 EFEM의 폭에 의하여 실체적으로 특정되도록 하기 위하여 구성된다.
도 2A와 도 2B는 실시예에 의한 또 다른 바람직한 기판 이송 시스템을 도시한 것이다. 도 2A와 2B의 이송 시스템은 특성 등에 할당된 참고 수치가 있는 도 1A-C에 관해서 상기 설명한 이송 시스템과 실체적으로 유사할 수 있다. 그러나 본 예에서 이송 챔버와 로드 락은 단일 모듈(200)로 통합된다는 것은 하기에서 더욱 자세히 설명한다. 도 2B에서 보여진 것처럼, 모듈(200)은 도 1B에 대하여 상기 서술한 것과 실체적으로 유사한 방식으로 순차적으로 정렬되는 처리 모듈(120)을 위하여 허용될 수 있다.
도 3A-C는 로드 락(110), 이송 챔버(100) 및 처리 모듈(120)을 더욱 상세히 도시하였다. 도 3A에서 로드 락(110)은 단지 예로서 뚜껑(111)(로드 락(110)의 내부로 접근하도록 제공함)이 있는 것으로 도시되었고, 대체 실시예에서 이송 챔버/로드 락은 뚜껑(111)이 없을 수 있다. 뚜껑이 닫혔을 때 누출을 방지하기 위하여 뚜껑(111) 주변에 적당한 밀봉을 할 수도 있다. 대체 실시예에서 로드 락의 내부는 다른 적절한 방식으로 접근할 수 있다. 또한 이송 챔버(100)는 뚜껑(111)과 실질적으로 유사한 두껑(101)을 가질 수도 있다. 이송 시스템은 처리 모듈(120), 이송 챔버(100) 및/또는 로드 락(110)에 연결되는 컨트롤러(310)를 포함할 수 있다. 컨트롤러(310)는 최소 처리 모듈(120), 이송 챔버(100), 로드 락(110), 일치하는 밸브 및 진공 펌프(및/또는 처리 모듈(120), 이송 챔버(100), 로드 락(110) 일부를 형성하는 기판 처리 시스템의 적합한 다른 특성)의 작동을 제어하기 위한 적합한 프로그램이나 알고리즘을 포함할 수 있다. 컨트롤러(310)는 클러스트화된 구성의 일부일 수 있는 중앙 컨트롤 모듈(미도시)에 연결되어 지시를 받을 수도 있다. 클러스트화된 구성을 가진 제어 시스템의 적절한 예는 2005년 7월11일 에 출원한 미국 특허출원 11/178,615에서 확인할 수 있으며, 참조로서 본 출원에서 완전히 구체화된다. 컨트롤러(310)는 연결부(300)를 통하여 중앙 컨트롤러로 연결될 수 있다. 연결부(300)는 이송 시스템의 작동을 위하여 전기적 연결, 진공선 연결, 가스선 연결 그 밖의 다른 적당한 연결이 포함할 수 있다. 대체 실시 예에서 컨트롤러(310)는 중앙 제어 모듈 부분일 수도 있다. 중앙 제어 모듈은, 한 예로 도 1A-C와 2A-B에 도시한 처리 시스템과 같은, 전체 처리 시스템의 작동을 제어할 수 있다. 이송 모듈(200)은 한 예로 연결부(300)와 같이, 컨트롤러(310) 및 전기, 진공, 가스 및/또는 에어컨과 실질적으로 유사한 컨트롤러를 포함할 수 있도록 구현이 된다.
도 4A-B와 5A-B를 참조하면, 상기 설명한 로드 락(110)은 이송 시스템이 실질적으로 직선으로 배치되도록 실질적인 선형통로(예를 들어, 밸브(460, 130)는 약 180도 이격됨)를 제공한다. 또 다른 실시예에서 로드 락(110`, 110``)은 슬릿 밸브(460, 130)가 90도 정도 이격되도록 형성될 수 있다. 도 4A-B와 5A-B에서 보여진 대로, 슬릿 밸브(460)는 로드 락(110`, 110``)의 다른 측에 위치할 수 있다. 대체 실시예에서 이송 챔버에 있는 슬릿 밸브는 예를 들어, 처리 모듈과 EFEM이 0°와 180°사이에 어떤 각도로 서로 적당한 관련을 가질 수 있도록 기판 사이에 통로를 허용한다. 처리 툴은 이렇듯이 원하는 대로 배치해서 구성할 수 있다 (예, EFEM 측을 따라 로드 락/이송 챔버 및 처리 모듈을 구성함).
도 6을 참조하여, 도 1A의 이송 챔버(100)가 더욱 상세히 도시되었다. 이 예시에서, 이송 챔버(100) 위에 뚜껑(101)은 분명하게 제거된다. 도 6에 도시된 것처럼, 이송 챔버(100)는 어떤 적합한 형태의 하우징(100H)을 가질 수 있다. 이 예에서, 하우징은 직사각형이지만, 대체 실시예에서 하우징이 다른 적합한 형태일 수 있다. 하우징(100H)은 이송 챔버(100) 내의 공기 유출을 방지하도록 어댑터(130)와 하우징(100H) 사이에 적당한 밀봉을 제공하고 적합한 방식으로 어댑터(130)와 결합되도록 형성될 수 있다. 이송 챔버(100)는 이송 챔버(100)를 통하여 이송하고 있는 기판 S를 위해 적당한 이송 장치나 로봇(600)을 포함한다. 이송 로봇(600)은 하기에서 더욱 상세히 설명된다. 이송 챔버(100)의 내부 벽(예, 상단, 하단 및 측면)은 이전에 등록된 미국특허 6,918,731에서 설명한 대로 챔버(100)의 내부 볼륨을 감소시키기 위해 챔버(100) 내에 움직이는 그 자체로 기판 통로를 따라가서 형체를 표현할 수도 있다. 예를 들어, 이송 챔버(100)의 내부 동공(100C)은 이송부(600)의 자유로운 작동을 위해 충분한 공간이 허용되도록 설계될 수 있다. 동공(100C)의 상단, 하단 및 벽 사이에 충분히 작동할 수 있는 여유를 제공함으로서 이송 챔버(100)의 볼륨은 최소화될 수 있다. 이것은 기판 처리 시간과 일치하는 주기 시간을 제공하기 위하여 진공하도록 하는 이송 챔버(100)의 펌핑 주기 시간을 최소화하게 할 수 있다. 예로서, 이송 챔버(100)가 로드 포트 모듈과 처리 모듈에 직접적으로 연결되는 경우는 하기에서 설명한다.
도 8을 참조하여, 도 1A의 로드 락(110)의 횡단면도가 더욱 상세히 도시되었다. 로드 락(110)의 내부 벽(예를 들어, 상단, 하단, 측면)은 벤팅이나 펌프다운으로 진공시키기위한 주기를 감소시키거나 최소화할 수 있도록 로드 락(110)의 내부 볼륨을 감소시키기 위하여 로드 락(110) 내에 그 자체를 움직여 기판의 통로를 따라가서 형체를 구성할 수도 있다. 이러한 실시예에서 바람직한 목적으로만, 로드 락(110)의 볼륨(V1)은 분당 약 60 표준 리터(slpm)의 로드 락(110)을 통해서 가스 유량으로 약 7l가 될 수 있다. 대체 실시 예에서 로드 락은 7l보다 작거나 더 많은 볼륨과 적당한 가스 유량률을 가질 수 있다. 로드 락(110) 내에 불활성 가스, 제어된 공기 및 대기 공기 등을 포함해서, 적당한 가스를 포함시킬 수도 있다. 감소된 볼륨과 증가된 유량은 더욱 빨라진 펌프/벤트 주기와 더욱 향상된 기판 생산량을 허용하게 된다. 로드 락(110)은 가스의 단열 팽창에 기인한 입자 오염을 최소화하기 위하여 구성될 수 있다.
도 7을 참조하면서, 운반 유닛(200)의 이송 챔버(200TC)를 상세히 도시하였다. 이송 챔버(200TC)의 뚜껑이 분명하게 제거된다는 점을 유의해야 한다. 도 7에 보여진 것처럼, 이 예에서는 직사각형으로 이송 챔버는 하우징(200H)에 밀폐되어 있다. 대체 실시예에서 하우징(200H)은 어떤 적합한 형태를 가질 수 있다. 이송 챔버(200TC)의 내부 벽은 본 예에 도시된 것처럼, 하우징(200H)의 외부 형체를 따라 실제로 이어지지만, 대체 실시예에서, 내부 벽(상단, 하단, 측면)은 도 6에 관해서 상기 서술한 것과 유사한 방식으로 진공 펌프다운(pump-down) 목적으로 챔버(100) 내부 볼륨을 감소하기 위해, 챔버(100) 내로 기판이 이동하는 통로를 따라 일정한 형체가 될 수도 있다. 본 실시예에서, 이송 챔버(200TC)는 하우징(200H)으로 슬릿 밸브(700)를 적당하게 결합하기 위한 개구부(700S)를 포함한다. 슬릿 밸브는 이하에서 설명한 이송 모듈(200)의 버퍼부 또는 처리 모듈 및/또는 로드 락에서 이송 챔버(200TC)를 격리시키기 위하여 제공될 수도 있다. 슬릿 밸브(700)는 예를 들어, 운반 시스템의 다른 구성요소로부터 이송 챔버(200TC)를 분해하거나 연결을 해제하지 않고, 개구부(700S)로부터 밸브를 완화시키거나 밸브 밖으로 낙하시키는 작업을 용이하게 구현할 수 있다. 다른 실시 예에서는 밸브(700)는 개구부(700S)의 하단보다는 오히려(예를 들어, 이송 챔버(200TC) 내부로부터 하우징(200H)을 통해 삽입됨) 개구부(700S)의 최상부를 통하여 삽입될 수도 있다. 대체 실시예에서는 이송 챔버(200TC)는 이송 챔버 하우징(200H)의 다른 적당한 측면을 통해서 밸브(700)의 삽입/제거를 허용하도록 구성될 수도 있다. 또한 이송 챔버(200TC)는 이송 챔버(200TC)로 처리 모듈을 접속시키기 위한 컴팩트 커넥터(230)를 포함할 수 있다. 커넥터(230)는 처리 모듈 및/또는 이송 챔버(200TC)의 내부 기체의 누출을 방지하기 위해서 적당한 밀봉을 갖고 있는 적절하게 형성된 커넥터일 수도 있다. 이러한 바람직한 실시 예에서, 슬릿 밸브(700)는 이송 챔버(200TC)의 내부 벽을 따라 위치하지만, 대체 실시예에서는 상기에 서술한 것과 같은 실질적으로 유사한 방법으로의 커넥터(230)에 위치할 수 있다. 예로서 커넥터는 설치가 되거나 제어될 수 있는 슬릿 밸브를 통하여 개구부(700S)와 실질적으로 유사한 개구부를 가질 수도 있다. 도 7에 나타나 있는 것처럼, 이송 챔버(200TC)는 이하에서 설명할 이송 챔버(100)를 통하여 기판 S를 운반하기 위한 적당한 이송 장치 또는 로봇(600)을 포함한다.
도 9를 참조하여, 도 2A의 이송 모듈(200)의 로드 락 부분(200LL)의 단면도를 확대하여 상세하게 도시하였다. 로드 락(200LL)의 내부 벽(예, 상단, 하단 및 측면)은 진공 펌프다운 또는 벤팅을 위한 주기 시간이 감소되거나 최소화하도록 하기 위하여, 로드 락 부분(200LL)의 내부 볼륨을 감소하기 위해 상기 로드 락 부분(200LL) 내에 움직이는 기판의 통로를 따라 외형을 형성할 수도 있다. 이러한 바람직한 실시예와 목적으로만, 로드 락 부분(200LL)의 볼륨(V2)은 분당 약 90 표준 리터(slpm)의 로드 락 부분(200LL)을 통한 가스 유출률로 약 3l정도가 될 수 있다. 대체 실시예에서 로드 락은 일정한 적당 가스 유출 비율과 3l를 전후한 볼륨을 가질 수 있다. 로드 락 부분(200LL) 내에 불활성 가스, 제어된 공기 및 대기 공기 등을 포함해서, 적당한 가스를 포함할 수 있다. 감소된 볼륨과 증가된 유출률로 더욱 향상된 기판 신출량과 더욱 빨라진 펌프/발산 주기가 허용된다. 로드 락 부분(200LL)은 상기 로드 락 부분(200LL)에서 가스의 단열 팽창에 기인한 입자 오염을 최소화하도록 구성될 수도 있다.
도 9A-9D를 참조하여, 다른 실시예의 이송 모듈(900)을 도시하였다. 본 실시예에서 이송 모듈(900)은 예를 들어 하나의 하우징(900H) 내에 구성된 버퍼 부분(900B)과 이송 챔버 부분(900TC)을 포함한다. 이 예에서 하우징(900H)은 단일체이거나 한 피스(piece)의 구성일 수 있다. 대체 실시예에서 하우징(900H)은 어셈블리일수도 있다. 버퍼 부분(900B)과 이송 챔버 부분(900TC)은 벽(970)으로 분리될 수 있다. 벽(970)은 버퍼 부분(900B)과 이송 챔버(900TC) 사이에 기판의 통로가 생기도록 하는 개구부 또는 슬릿(970S)을 포함할 수 있다. 버퍼 부분(900B)과 이송 챔버 부분(900TC)의 결합은 EFEM과 처리 모듈 사이에 로드 락으로서의역할을 할 수도 있음에 유의해야 한다. 이 예에서, 버퍼 부분(900B)과 이송 챔버(900TC)는 서로 분리되지 않을 수 있지만, 다른 실시예에서 슬릿 밸브는 하기에서 설명할 로드 락으로 버퍼 부분(900B)을 전환하고 서로로부터 해당 부분을 고립화하는버퍼 부분(900B)과 이송 챔버(900TC) 사이에 이동 가능하도록 위치할 수 있다. 버퍼 부분(900B)은 최소 1개의 기판을 버퍼링하기 위한 기판 버퍼(920)를 포함할 수도 있다. 버퍼(920)는 정렬을 하기 위한 정렬 기능으로 처리 용도로의 기판 기점을 가질 수 있다. 다른 실시 예에서 버퍼는 기판의 처리 과정을 돕기 위한 다른 처리 과정이나 뜨거운 기판의 냉각 기능을 제공한다. 또한 다른 실시예에서 버퍼는 기판 위에 적절한 처리 작동을 실행하기 위하여 구성될 수도 있다.
이송 챔버(900TC)는 버퍼(920)로부터 슬릿(970S)을 지나, 밸브(940V)에서 처리 모듈로 기판 S를 운반하거나 역순으로 운반하기 위한 이송 로봇(930)을 포함할 수 있다. 밸브(940V)는 처리 모듈에서 이송 모듈(900)에 접속하기 위한 커넥터(940)의 일부가 될 수도 있다. 다른 실시예에서 밸브(940V)는 도 7에 대하여 상기 설명한 것과 실질적으로 유사한 방식으로 개구부를 통하여 커넥터(940)에 삽입되거나 결합될 수 있다. 다른 실시 예에서 밸브(940V)는 한 예로, 상기 설명한 이송 챔버(900TC)의 내부 벽에 마주하는 이송 챔버(900TC)의 바닥 개구부를 통해서 삽입될 수도 있다. 대체 실시예에서 밸브(940V)는 이송 챔버(900TC) 및/또는 커넥터(940)의 적당한 부분에 위치될 수 있다. 다른 밸브(960)는 예를 들어, EFEM 이나 처리 장비의 다른 적당한 부분에 버퍼 부분(900B)의 연결을 허용하도록이송 모듈(900)의 또 다른 반대 측면에 배치될 수 있다. 본 실시예에서 밸브(960)는 공기 밸브로 도시가 되었지만, 다른 실시예에서 밸브(960)가 슬릿 밸브와 같은 적당한 밸브일 수도 있다. 또한, 이송 모듈(900)은 진공 및 가스 라인을 이송 챔버(900TC) 및/또는 버퍼(900B)에 연결하기 위한 연결부(950, 951)를 가질 수도 있다.
도 10A-10D를 참조하여, 이송 모듈의 다른 바람직한 구성을 제시하였다. 이 구성의 예와 같이, 이송 모듈 하우징(900H)은 도 7에 대하여 상기 설명한 대로 개구부(1000S)를 통하여 하우징(900H)으로 슬릿 밸브(1000)를 적절하게 결합하기 위해서 하우징 바닥을 통하는 개구부(1000S)를 가질 수 있다. 슬릿 밸브(1000)는 버퍼를 로드 락(900LL)으로 전환하는 이송 챔버(900TC)로부터 버퍼를 격리시킬 수 있다. 상기 설명과 같이, 개구부(1000S)와 밸브(1000)는 하우징의 바닥이나 이송 챔버 내부로부터 하우징(900H)을 통해서 삽입될 수 있게 구성될 수 있다. 또한 대체 실시 예에서 유사 밸브와 개구부는 이송 모듈(900)의 로드 락(900LL) 내에 배치될 수 있다.
도 11A-12B는 다양한 위치로 연장된 이송 로봇(930)을 가진 이송 모듈(900)을 도시하였다. 예를 들어, 도 12A와 도 12B는 이송 모듈(900)의 로드 락(900LL)(또는 버퍼 부분)으로 연장시킨 이송 로봇(930)을 나타내고, 도 11A와 11B는 밸브(940V)를 통하여 연장된 이송 로봇(930)을 나타낸다. 이송 로봇(930)의 동작은 하기에서 더욱 상세하게 설명된다.
도 13A-B, 14A-C와 15A-B를 참조하여, 실시예에 의한 바람직한 기판 운송부(1400)를 설명한다. 하기에서 설명할 바람직한 컴팩트, 빠른 교환, 다른 길이의 팔을 가진 양축 구동 사항을 포함할 수 있고, 차별적으로 결합된 끝 작동체는 일반적인 SCARA 암 배치로서의기준이 되도록 배치된다. 대체 실시예에서 운송부(1400)는 대략 2개의 끝 작동체 및/또는 대략 2개의 구동 날을 가진 적당한 구성을 가질 수도 있다. 도 13A에서 보여진 것처럼, 운송부(1400)는 처리 시스템의 운반 챔버(100)에 위치해 있다. 대체 실시예에서 운송부(1400)는 처리 시스템의 다른 적당한 부분에 위치할 수 있다.
운송부(1400)는 한 예로, 2005년 7월11일 에 출원한 발명의 명칭이 "UNEQUAL LENGTH SCARA ARM"이라 명명된 미국 특허 출원 제 11/179,762에서 그 발명에 설명하는 것과 실체적으로 유사한 도 13B에 최적으로 도시된 것처럼, 양축의 동축 구동 시스템(1301)을 가질 수 있으며, 참조로서 본 출원에서 완전히 구체화된다. 예를 들어, 구동부(1301)는 동축 샤프트 어셈블리(1360)와 양 모터(1362, 1366)를 구성하는 외부 하우징(1301H)을 포함할 수도 있다. 대체 실시예에서 구동부(1301)는 대략 2개의 모터를 가질 수 있다. 구동 샤프트 어셈블리(1360)는 2개의 구동 샤프트(1368A, 1368C)를 가진다. 대체 실시예에서 대략 2개의 구동 샤프트가 제공될 수 있다. 제1모터(1362)는 내부 샤프트(1368A)에 연결된 고정자(1378A)와 회전자(1380A)를 포함한다. 제2모터(1366)는 외부 샤프트(1368C)에 연결된 고정자(1378C)와 회전자(1380C)를 포함한다. 2개의 고정자(1378A, 1378C)는 하우징을 따라 다른 수직적인 높이나 위치에서 하우징(1301H)으로 고정시켜 부착된다. 본 실시예에서, 도시적인 목적으로만 제1고정자(1378A)는 하단 고정자, 제2고정자(1378C)는 상단 고정자가 된다. 각 고정자는 일반적으로자기 코일을 포함하고 있다. 양 샤프트(1368A, 1368C)는 동축 샤프트로 배치된다. 2개의 회전자 (1380A, 1380C)는 영구자석을 갖는 것이 바람직하지만, 선택적으로 자기 유도 회전자는 영구자석을 포함하지 않을 수 있다. 구동 샤프트 어셈블리(1360)가 진공 환경에 위치되고 고정자(1378)가 진공 환경의 외부에 위치되는 진공 환경에서 운송부(1400)가 유용될 수 있도록 회전자(1380)와 고정자(1378) 사이에 슬리브(1363)가 배치될 수 있다. 그러나 슬리브(1363)는 운송부(1400)가 대기 환경에서 사용하기 위한 경우에는 제공될 필요가 없다. 다른 실시예에서 로봇은 진공 환경으로부터 회전자와 고정자를 암의 내부로 분리하기 위해 구성될 수 있다. 대체 실시예에서는 로드 락의 볼륨이 이송 로봇의 구동에 의하여 증가되지 않도록 진공 환경으로부터 회전자와 고정자를 분리시키는 적당한 밀봉을 할 수도 있다.
제1샤프트(1368A)는 내부 샤프트이고, 하단 고정자(1398A)로부터 연장된다. 내부 샤프트는 하단 고정자(1378A)와 정렬되는 제1회전자(1380A)를 가진다. 외부 샤프트(1368C)는 상단 고정자(1378C)로부터 상부로 연장한다. 외부 샤프트는 상단 고정자(1378C)와 정렬되는 제2회전자(1380C)를 가진다. 각 샤프트가 하우징(1301H)과 서로 밀접하게 독자적으로 회전하도록 샤프트(1368)와 하우징(1301H)과 연관된 다양한 베어링이 제공된다. 대체 실시예에서 각각의 고정자(1378A, 1378C)에 의하여 회전자(1380A, 1380C)가 힘을 진력(盡力)하는 접촉 없이, 모터(1362, 1366)는 실질적으로 하우징 내에 회전자(1380A, 1380C)가 지원 되는 자가 베어링 모터로 구성될 수 있다. 다른 대체 실시예에서, 2008년 5월 8일 에 출원된 변호사 소송기록 번호 3909010855-US(PAR), US 일련 번호, 12/117,355에서 "Substrate Transport Apparatus"라 명명된 미국 특허출원과 2007년 7월 17일 출원된 변호사 소송기록 번호 3909013018-US(-#1), 미국 일련 번호 60/950,331에서 "Substrate Processing Apparatus with Motors Integral to Chamber Walls"라 명명된 미국 특허 출원에서 설명된 것처럼, 모터는 이송 챔버(100)의 벽에 병합될 수 있으며, 참조로서 본 출원에서 완전히 구체화된다. 각 샤프트(1368A, 1368C)는 하우징(1301H)에 관련되거나 상호 관련하는 샤프트(1368)의 회전 위치의 컨트롤러(310)(도 3D참조)에 신호를 보내기 위한 적당한 위치 센서와 함께 제공될 수 있다. 적당한 센서에 광학 및 유도 센서 등을 포함하여 사용하는 것도 가능하다.
외부 샤프트(1368C)는 샤프트(1368C)와 상부 암(1401)이 Z축에 대해 유닛으로서 함께 회전하도록 상부 암(1401)에 고정적으로 연결된다. 제2샤프트(1368C)는 앞으로 설명할 끝 작동체(1403, 1404)를 구동하기 위한 끝 작동체 풀리(1437)로 결합될 수도 있다.
대체 실시예에서 각 구동축(즉, 상부 암과 끝 작동체)을 위한 구동부는 암 위에 각기 조인트에 배치될 수 있다. 예를 들어, 상부 암을 회전시키기 위한 구동이 숄더 조인트(1310)에 배치될 수도 있고, 끝 작동체를 회전하기 위한 구동이 손목 조인트(1312)에 배치될 수가 있다. 대체 실시예에서 운송부는 대략 2개 이상의 구동축을 가질 수 있는 적합한 구동 시스템(동축 또는 비동축)을 갖는 것이 가능하다. 다른 실시예에서는 구동 시스템은 암 어셈블리의 수직적 운동을 위한 Z축 구동부가 포함될 수 있다.
도 14A-C에 최적으로 도시된 것처럼, 운송부(1400)는 베이스(1405), 상부 암(1401), 전방 암(1402) 및 2개의 끝 작동체(1403, 1404)를 포함한다. 본 실시예에서, 상부 암(1401)과 전방 암(1402)은 이전에 이미 공개된 미국 특허 출원 11/179,762에서 언급된 서로 동일하지 않은 길이를 가질 수도 있다. 예를 들어, 전방 암(1402)은 연장 비율이 최대화가 되어서 운반을 억제하도록 상부 암(1401)보다 더 길 수도 있다. 상부 암(1401)과 전방 암(1402)의 서로 같지 않은 길이는 위치를 위축시키는반면에, 같은 길이의 상부 암과 전방 암을 가지고 있는 종래의 암 어셈블리의 회전 직경과 동일하게 회전할 수 있도록 암 어셈블리의 회전 직경을 허용할 수 있다.
그러나 상부 암(1401)과 전방 암(1402)의 서로 다른 길이는 같은 회전 직경을 갖는 같은 길이의 링크를 가지고 있는 암보다 연장이나 도달이 더 크게 되어, 암의 억제율에 도달하는 것을 증가시킬 수도 있다. 상부 암(1401)은 숄더 조인트(1410)에서 베이스(1405)로 회전적으로 결합될 수 있다. 전방 암(1402)은 엘보우 조인트(1411)에서 상부 암(1401)으로 회전적으로결합될 수 있다. 또한 끝 작동체(1403, 1404)는 손목형 조인트(1412)에서 전방 암(1402)으로 회전적으로 결합될 수 있다. 도 14A-C에 도시한 실시예는 암이 2개의 끝 작동체를 갖고 있는 것으로 도시 되었지만, 2개 전후의 끝 작동체로 구현할 수 있다.
상부 암은 제1 구동 샤프트(1436)(구동 시스템(1301)의 샤프트(1368C)와 실제 유사할 수 있음)에 의하여 숄더 조인트(1410)에 회전적으로 구동될 수 있다. 제2 구동 샤프트(1435)(구동 시스템(1301)의 샤프트(1368A)와 실제 유사할 수 있음)는 제1 끝 작동체 풀리(1437)에 회전적으로 구동이 되고 결합될 수도 있다. 숄더 풀리(1430)는 숄더 풀리(1430)가 제2 샤프트(1435) 및/또는 상부암(1401)이 회전할 때, 숄더 풀리(1430)가 회전하지 않도록 하는 적당한 베어링(1431)에 의하여 지지되고, 제2 샤프트(1435)에 자유롭게 실장될 수도 있다. 예를 들어, 어께형 풀리(1430)는 숄더 풀리(즉, 전방 암이 상부암으로 종속됨)(1430)의 회전을 방지하기 위하여 연결부재(1431)를 통하여 베이스(1405)로 고정되어 연결될 수 있다. 대체 실시예에서, 운반부(즉, 유닛으로 운반 암 회전)의 θ 작동이 소망되어, 숄더 풀리(1430)는 운반이 유닛으로서 회전될 때 전방 암(1402)과 상부 암(1401) 사이에 관련 동작을 방지하도록 구동부의 다른 모터로 결합될 수 있다. 상부 암(1401)은 연결부재(1431)가 베이스(1405)로 연결하기 위하여 상부암(1401)을 통해 통과할 수 있게 적당히 구성될 수도 있다. 대체 실시예에서 숄더 풀리(1430)는 제3 비-회전 샤프트 등을 포함하여 다른 적당한 방식으로 회전을 고정할 수 있다.
제2 끝 작동체 풀리(1440)는 엘보우 조인트(1411)에 위치한다. 제2 끝 작동체 풀리(1440)는 적당한 베어링(1443)에 의하여 엘보우 샤프트(1411`)에 적절히 지지되고 자유로운 회전도 가능할 수 있다. 제1 및 제2 끝 작동체 풀리는 벨트(1439)에 의하여 서로 결합될 수도 있다. 본 도면에 1개의 벨트가 도시되어 있지만, 적당한 갯수의 벨트가 사용될 수 있음에 유의해야 한다. 대체 실시예에서 벨트(1439)는 체인, 밴드, 연결 장식구 등의 다른 적당한 커플러로 대체될 수 있다. 또한 엘보우 풀리(1441)는 베어링(1442)에 의하여 적당히 지지되고 엘보우 샤프트(1411`)에 대해 회전이 자유로울 수 있다. 엘보우 풀리(1441)는 엘보우 풀리(1441)가 숄더 조인트(1411)에 대하여 전방 암(1402)의 회전을 구동시키는 전방 암(1402)에 고정되어 결합될 수 있다. 엘보우 풀리(1441)는 끝 작동체 풀리(1437, 1440)에 관하여 상기 설명한 것과 실질적으로유사한 방식으로 벨트(1438)에 의하여, 숄더 풀리(1430)에 결합될 수도 있다. 본 실시예에서 숄더 및 엘보우 풀리(1430,1441)의 직경은 예정된 암 궤도가 운반부(1400)의 연장과 수축이 진행되는 동안에 유지가 되도록 약 2:1의 비를 가질 수 있다. 대체 실시예에서 숄더 및 엘보우 풀리는 임의의 적정 직경 비율을 가질 수도 있다.
제3 및 제4 끝 작동체 풀리(1445, 1446)는 엘보우 조인트(1411)에서 전방 암(1402) 내에 위치하고 제2 끝 작동체 풀리(1440)에 구동적으로 결합될 수 있다. 본 예에서 제3 및 제4 풀리(1445, 1446)는 분리 풀리들로 도시가 되었으나, 대체 실시예에서는 개의 풀리와 2개의 홈으로 이루어질 수 있다. 다른 대체 실시예에서 풀리(들)는 적합한 다른 구성을 가질 수 있다. 제5 및 제6 끝 작동체 풀리(1420, 1421)는 적합한 베어링(1450, 1451)에 의하여 전방 암(1402) 내부의 손목 조인트(1412)에 위치되고, 손목 샤프트(1412`)에 회전적으로 지지될 수 있다. 제3 끝 작동체 풀리(1445)는 상기 언급한 것과 실질적으로 유사한 방식으로 벨트(1422)에 의하여, 제5 끝 작동체 풀리(1420)에 구동적으로 결속될 수 있다. 제4 끝 작동체 풀리(1446)는 예로서, 상기 언급한 것과 실질적으로 유사한 방식으로 벨트(1423)에 의하여, 제6 끝 작동체 풀리(1421)에 구동적으로 결속될 수 있다. 본 예에서, 끝 작동체가 앞으로 설명할 내용과 같은 방식으로 시저(scissor)의 반대 방향으로 회전하도록 풀리가 차동적으로 결속될 수 있다. 벨트(1422)는 풀리(1420)가 풀리(1445)가 구동한 것과는 반대 방향으로 회전하도록 도면에 도시한 것처럼 "8자형 매듭"의 구성으로 감겨질 수도 있다.
제1 끝 작동체(1404)는 끝 작동체(1404)가 함께 회전하여 풀리(1420)와 회전할 때 제5 끝 작동체 풀리(1420)에 결속되어 고정될 수 있다. 제2 끝 작동체(1403)는 끝 작동체(1403)가 함께 회전하여 풀리(1421)와 회전할 때 제6 끝 작동체 풀리(1421)에 결속되어 고정될 수 있다. 대체 실시예에서 운송부(1400)는 대략 2개 정도 끝 작동체를 가질 수 있다. 끝 작동체는 도면에 도시한 것처럼 끝 작동체(1403, 1404, 1403` 및 1404`) 구성 등을 포함해 적당한 구성을 가질 수 있다.
본 실시예에서 풀리들은 상부 암(1401)과 전방 암(1402) 내에 위치한다. 한 예로, 상부 암(1401)과 전방 암(1402)은 운송부(1400)에 의하여 이송된 기판 S를 오염을 막고, 구동 시스템으로부터 먼지에 노출되는 것을 방지하기 위해 진공 펌프 등에 의하여 벤트되어 밀봉될 수도 있다. 대체 실시예에서, 풀리들은 적당한 곳에 위치될 수도 있다. 도 14A-C와 15A-B에서 도시한 풀리 구성은 대체로 모범적인 것이고 풀리는 로봇 암과 끝 작동체를 구동하기 위해 다른 적당한 구성을 가질 수도 있다는 것에 더욱 유의해야 한다.
도 16A 및 도 16B를 참조하여 로드 락(또는 버퍼)(1601)으로 연장되는 운송 암(1603)을 설명한다. 운송부(1600)는 도14A-C 및 도 15A-B에 관하여 상기 서술한 운송부와 실질적으로유사할 수 있다. 본 예에서, 위치 A는 암(1630)의 중립 또는 출발 위치로 표시되고, 위치 F는 암(1630)의 연장된 위치로서 표시되는 6개의 바람직한 위치 A-F에 암(1630)이 도시가 되었다. 용어 "출발" 및 "연장" 위치는 암의 동작을 설명하는데 단순한 편의로 사용되었음에 유의한다. 위치 A에서 끝 작동체(1630, 1640)는 상부 암(1610)에 실질적으로 정렬된다. 화살표(1605)의 방향에서 집어 들거나 놓는 위치(즉, 로드 락/버퍼 내 기판의 위치)로 끝 작동체(끝 작동체 1630, 1640 중 어느 하나)를 움직이거나 연장하기 위하여 상부 암(1610)은 화살표(1605) 방향으로 회전된다. 운반 구동부(1301)(도 13)는 제어 알고리즘의 지시에 따라 암과 끝 작동체를 움직인다. 종속된 전방 암(1620)은 화살표(1606) 방향으로 상부 암(1610)과 고정된 숄더 풀리(1430)의 회전을 통해 구동된다. 끝 작동체는 끝 작동체(1630)가 화살표(1608) 방향으로 회전하고, 화살표(1607) 방향으로 회전하는 끝 작동체(1640)에 차동적으로 결합되도록 끝 작동체 풀리와 샤프트(1435)에 의하여 구동될 수 있다. 도 16B에 최적으로 도시된 것처럼(이송 로봇은 명백하게 하기 위해 끝 작동체와 함께 도시함), 끝 작동체에 위치한 기판은 이송 챔버(1602)(즉, 로드 락 또는 버퍼(1601) 안쪽) 외부에 있는 동안 실질적으로 직선이나 선형 통로(1680)를 따르고, 이송 챔버(1602) 내부에 있는 동안 실질적으로 U형태 통로(1670)나 아치형을 따를 수 있다. 더우기, 실시예에서 이송 챔버의 반대측의 선형 통로(1680)는 실질적으로는 상호 정렬될 수 있지만, 대체 실시예에서 통로는 서로 상대적으로각이 지게 할 수도 있다. 인지된 바와 같이, 암(1603)의 수축은 암(1603)의 연장과 실질적으로는반대 방식으로 일어난다. 3 링크 운송 암의 동작은 운송 암(1603)의 주어진 연장을 위하여 최소 공간의 엔벨롭 또는 풋프린트(기판과 함께 운송)를 가지고 있는 고효율성의 실현이 가능하다. 이러한 방식으로, 운송 도달/연장 대비 억제도는 최대화가 된다. 이중 끝 작동체는 다른 끝 작동체가 로드 락/버퍼 내에 미처리된 기판을 놓는 동안에 1개의 끝 작동체가 처리된 기판을 집어내는 일련의 빠른 기판 교환을 위해 사용될 수도 있다.
도 17A와17B를 참조하여, 처리 모듈(도 17B에 보이지는 않지만 문자 "PM"으로 표시됨) 속으로 연장되는 운송 암(1603)을 설명한다. 대체 실시예에서 암(1630)은 아래에서 설명하는 방식으로 다른 적당한 위치로 연장될 수 있다. 운송부(1600)는 도 14A-C와 15A-B에 관하여 상기에서 설명한 운송부와 실질적으로 유사할 수도 있다. 본 예에서 암(1630)은 7개의 바람직한 위치 G-M이 표시가 되었는데 위치 G는 암(1630)의 출발 위치이고, 위치 M은 암(1630)의 연장 위치이다. 여기에서, 용어 "출발"과 "연장" 위치는 암의 동작을 설명하는데 있어서 단순히 편의적으로 이용되었다는 점에 유의해야 한다. 위치 G에서 끝 작동체(1630, 1640)는 상부 암(1610) 위에 실질적으로 정렬된다. 화살표(1705) 방향에서 집거나 놓는 위치(예, 처리 모듈 내의 기판의 위치)로 끝 작동체(끝 작동체 1630, 1640 중 어느 하나)를 움직이거나 연장하기 위하여 상부 암(1610)은 화살표(1705) 방향으로 회전된다. 운송 구동부(1301)(도13)는 제어 알고리즘의 지시에 따라 암과 끝 작동체를 움직인다. 종속된 전방 암(1620)은 화살표(1706) 방향으로 상부 암(1610)과 고정된 숄더 풀리(1430)의 회전을 통해 구동된다. 끝 작동체(1630, 1640)는 끝 작동체(1630)가 화살표(1708) 방향으로 회전하고, 화살표(1707) 방향으로 회전하는 끝 작동체(1640)에 차동적으로결합되도록 끝 작동체 풀리와 샤프트(1435)에 의하여 구동될 수 있다. 도17B에 최적으로 도시된 것처럼, 끝 작동체에 위치한 기판은 이송 챔버(1602) 내부에 있는 동안 실질적으로 아치형이나 U자형 통로(1770)를 따르고, 이송 챔버(1602) 외부에 있는 동안 실질적으로 직선이나 선형 통로(1780)를 따른다 (예를 들어, 처리 모듈 PM 안쪽). 인지된 바와 같이, 처리 모듈 PM으로부터 암(1603)의 수축은 암(1603)의 연장과 실질적으로는 반대 방식으로 일어난다. 다시 한번, 3 링크 운송 암의 동작은 운송 암의 주어진 연장을 위하여 최소 공간의 엔벨롭 또는 풋프린트(기판과 함께 운송)를 가지고 있는 고효율성의 실현이 가능하다. 이러한 방식으로, 운송 도달/연장 대비 억제도는 최대화가 된다.
이중 끝 작동체(1630, 1640)는 다른 끝 작동체가 다른 끝 작동체가 처리 모듈 PM 내에 미처리된 기판을 놓는 동안에 1개의 끝 작동체가 처리된 기판을 집어내는 일련의 빠른 기판 교환을 위해 사용될 수도 있다. 도 17A를 참조하면서, 처리 모듈(도시되어 있지 않지만 문자 "PM"으로 표시됨)에 대한 기판의 빠른 교환을 설명한다. 이 예에서, 양쪽 끝 작동체(1630, 1640)는 기판 등을 가지고 있는 위치 G에서 출발할 수도 있어 각 기판은 접혀진 로봇 암(1610, 1620)에 실체적으로 정렬이 된다. 제1 기판은 상기에서 언급되고, 도 17A의 위치 M에서 도시된 것처럼 처리 모듈 PM에 끝 작동체(1630)에 의하여 놓여질 수 있다. 기판 끝 작동체(1630)의 처리 후에 기판을 집기 위하여 처리 모듈로 다시 연장될 수도 있다. 끝 작동체는 처리된 기판이 최소 일부라도 이송 챔버(1602) 내에 존재할 때까지 운송을 연장하여 실제로는 반대 방식으로 처리 모듈로부터 수축이 이루어 진다. 이송 챔버(1602) 내에 최소 일부라도 이전에 처리된 기판이 있으면, 처리된 기판과 이송 챔버 벽 및/또는 슬릿 밸브 사이에 충분한 여유가 있도록 끝 작동체 구동부가 끝 작동체(1630, 1640) 위치가 변경되게 시계 방향 또는 반시계 방향으로 회전시킨다. 본 예에서는 운송을 확장하는 동안에는 끝 작동체(1630)가 처리 모듈로 가장 근접하지만, 끝 작동체가 위치를 변경한 후에는 근접 끝 작동체(1640)가 처리 모듈로 가장 근접하게 된다. 기판의 빠른 교환을 유효하게 하도록 운송 암(1603)은 도 17A에서 도시한 위치 G에서 완전히 수축되지 않을 수 있다는 것을 유의하여야 한다. 해당 목적으로, 충분한 여유는 암(1603)이 H 위치(또는 운송통로를 따라 다른 적당한 위치)에 있을 때 기판과 이송 챔버 벽 및/또는 슬릿 밸브 사이에 존재할 수 있다. 끝 작동체(1630, 1640) 위치의 전환 후에 암(1603)은 상기 설명한 방식으로 연장되고 미처리된 기판은 끝 작동체(1640)에 의하여 처리 모듈로 옮겨진다. 암(1603)의 확장 동안에 기판(양끝 작동체(1630,1640))이 실제 배치되고, 기판 중 하나가 이송 챔버(1602)의 외부에 위치할 때 처리 모듈 및/또는 EFEM 작동 통로의 중심선을 따라 이동하게 된다. 인지한 바와 같이, 기판의 빠른 교환과 이송 모듈의 로드 락 영역이나 버퍼로부터 상기 설명한 것과 같은 방식으로 실행되도록 구현될 수 있다.
도 18에서 보여진 것처럼, 실시예 구성에서 암(1800)은 처리 모듈이 도면에 도시된 것처럼, 로드 락/버퍼의 기판 지지대(1810)에 의하여 정의 될 수 있는 처리 모듈의 틈새 엔벨롭(1830) 내에 끝 작동체(1803)가 맞춰지도록 구성될 수도 있다. 본 실시예에서 처리 모듈 PM은 서로 약 120도 떨어져서 위치한 기판 지지대(1820)를 가질 수도 있다. 대체 실시예에서기판 지지대는 서로 적당한 공간적 관계를 가질 수도 있다. 끝 작동체는 도 18에서 도시한 것처럼, 상부 암(1801), 전방 암(1802) 및 끝 작동체(1803)가 처리 모듈로 연장되고, 끝 작동체(1803)는 기판 지지대(1820) 사이에 맞춰지는 구성을 가질 수 있다. 이와 같이, 끝 작동체(1803)는 암이 로드 락/버퍼로 연장되는 것처럼, 로드 락/버퍼 LL의 기판 지지대(1810) 사이에 맞춰지는 구성을 가질 수 있다. 대체 실시예에서끝작동체는 다른 적합한 구성을 가질 수도 있다. 또한 이송 암(1800)의 양방향 운동은 도 18에서도 볼 수 있다. 예를 들어, 암(1800)은 상부 암 회전(1860) 축의 일측 처리 모듈 PM과, 축(1860)에 대해 유닛으로 이송 암(1800)이 회전하지 않는 통로(1850)를 따라 회전(1860) 축의 다른 일측 로드 락/버퍼쪽으로 모두 연장할 수 있다 (즉, 암은 회전 없이 2개의 일직선 반대 방향으로 확장할 수 있음). 대체 실시예에서암(1800)은 통로(1850)를 따라가는 것과는 달리 다른 방향에서 연장시키도록 허용하는 유닛으로서 암을 회전시키기위한 추가적인 모터를 가지고 구성될 수도 있다.
도 19A-D와 20-23을 참조하여, 여기서 설명된 자동화된 진공 웨이퍼 운송 시스템은, 예로서 도시한 적당한 수의 처리 모듈을 가지고 클러스트 툴을 구성하기 위하여 건물 블록으로서 이용될 수도 있다. 실시예에서 클러스트 툴의 깊이는 이송 챔버의 폭에 독립적일 수도 있고, EFEM이나 처리 모듈의 깊이에 의존적일 수도 있다. 실시예에서 도시한 것처럼, 클러스트 툴은 전방 끝 유닛에 교대로 연결되어 각각의 이송 모듈에 연결되는 일련의 단일 단계 처리 모듈(예, 각 처리 모듈은 단일 처리 단계를 실행함)이 될 수가 있다. 대체 실시예에서, 전방 끝 유닛은 이송 챔버(예를 들어, 진공 상태)와 로드 포트 사이에 사용되지 않을 수도 있다. 대체 시스템에서 각 처리 모듈은 다중 처리 단계를 실행할 수 있다. 1과 4 사이 단일 단계 공정 모듈을 가지고 있는 클러스트 툴의 바람직한 구성이 도 19A-D에 도시되어 있다. 대체 실시예에서클러스트 툴은 4개의 처리 모듈보다 더 많은 수를 가질 수도 있다. 상기 언급한 대로, 기존 EFEM는 약 30mm - 505mm 직경 웨이퍼의 거리 D 만큼 분리된 작동 통로(도 20-23에서 참조 수치 CL1과 CL2로 표시된 2개)를 가진다. 상기 언급한 대로, 대체 실시예에서 거리 D는 어느 정도 적당한 거리일 수 있다. 여기서 설명한 실시예는 다른 적정 크기의 기판용으로 구성된 처리 모듈과 EFEM을 합치시키기위하여 크기와 구성이 증감될 수 있음을 유의하여야 한다. 예를 들어, 여기서 설명한 이송 로봇, 이송 챔버, 로드 락 또는 이송 유닛이나 모듈의 다른 적당한 구성 요소의 크기는 처리되는 기판의 크기에 따라서 크기가 줄거나 늘어날 수 있다. 여기에서 설명된 3링크 불일치 길이 암 이송 로봇과 이송 모듈에 기인하여, 이송 모듈(101, 200)(도 1A, 2A)은 이송 모듈(101, 200)을 허용하는 EFEM의 작동 통로와 EFEM의 작동 통로 중심선 CL1, CL2와 정렬된 처리 모듈(1940) 사이의 공간 D보다 작은 폭을 가질 수 있다. 도 19A에서 보여지는 것처럼, EFEM(1910)은 이송 챔버(1935), 로드 락(1920) 및 관련된 슬릿이나 공기 밸브를 포함할 수 있는 1개의 이송 모듈(1925)과 함께 구성된다. EEFM(1910)은 2개의 로드 포트(1900)와 로드 락(1920)에 액세스하기위하여 구성된 이송 로봇(1915)을 포함할 수 있다. 도 19B는 2개의 이송 모듈(1925)을 가지고 구성된 EFEM(1910´)을 나타낸다. EFEM(1910´)은 2개의 로드 포트(1900)와 2개의 로드 락(1920)으로 액세스하기 위해 구성된 이송 로봇(1915´)을 포함할 수도 있다. 도 19C는 3개의 이송 모듈(1925)을 가지고 구성된EFEM(1910´´)을 나타낸다. 상기 EFEM(1910´´)은 3개의 로드 포트(1900)와 3개의 로드 락(1920)을 액세스하기 위하여 구성된 이송 로봇(1915´´)을 포함할 수도 있다. 도19D는 4개의 이송 모듈(1925)을 가지고 구성된 EFEM(1910´´´)을 나타낸다. EFEM(1910´´´)은 4 로드 포트(1900)와 4개의 로드 락(1920)에 엑세스하기 위하여 구성된 이송 로봇(1915´´´)을 포함할 수도 있다. 이송 모듈(1925)은 도 20과 21에서 보여지는 것처럼 버퍼와 함께 구성되거나, 도 22와 도 23에서 보여지는 것처럼 로드 락과 함께 구성될 수 있다는 것을 유의하여야 한다. 도 19A 19D에서 이송 모듈(1925)로 결합되는 처리 모듈(1940)은 SEMI 750mm 특정 폭보다는 작은 폭을 가질 수도 있다. 다른 실시예에서는 도 19B-19D에 도시한 것처럼, 각 이송 모듈에 결합되는 다중 처리 모듈은 다중 처리 챔버를 가지고 있는 단일 처리 모듈의 일부가 될 수 있다. 예를 들어, 도 19B에서 2개의 처리 모듈(1940)은 단일 이중 처리 모듈(예로서, 단일 유닛에서 2개의 처리 모듈)이 될 수 있고, 도 19C에서 3개의 처리 모듈(1940)은 단일 3중 처리 모듈(예로서, 단일 유닛에서 3개의 처리 모듈) 등이 될 수도 있다.
도 21과 도 24A를 참조하여, 작동 통로 CL2에 관한 이동 시스템의 작동을 설명한다. 처음에 로드 락(900LL)이 벤트되고 인터페이스(160)에서 배송 포트가 열린다 (도 24A, 블록 2400). 전방 끝 운송부(2120)는 하나의 로드 포트(1900)에서 기판 처리를 위한 로드 락(900LL)으로 기판 S를 배급하기 위하여 작동된다 (도 2A, 블록 2405). 배송 포트 밸브는 밀봉되고 로드 락 챔버(900LL)는 진공을 위해 펌프된다 (도 24A, 블록2410). 처리 작동 진공이 도달되면, 슬릿 밸브(1000)가 열린다 (도 24A, 블록 2415). 이송 챔버(900TC)(진공이 유지됨)에 위치한 운송 로봇(2130)은 이송 챔버(900TC)내에 기판을 운송하고 도16B에서 도시한 운송 궤도를 경유하여 로드 락(900LL)으로부터 기판을 이동시킨다 (도 24A, 블록 2420). 로드 락과 이송 챔버 사이의 슬릿 밸브(1000)는 폐쇄된다 (도 24A, 블록 2425). 이 예에서, 로봇(2130)은 1개의 끝 작동체를 가질 수 있으며, 이중 끝 작동체를 가진 로봇의 작동은 하기에서 설명한다. 처리 슬릿 밸브(940V)는 열린다 (도 24A, 블록 2430). 이 시점에서 처리 챔버(1940)는 비어 있고 운송부(2130)는 출발 위치에 놓인다. 도 17B에 도시된 것처럼, 운송부(2130)는 배송 궤적을 통하여 처리를 위해 기판 S를 내려 놓는 완전히 연장된 (또는 다른 적당한 연장거리) 위치로 이동된다 (도 24A, 블록 2435). 운송부(2130)는 출발 위치로 수축이 되고 처리 밸브(940V)가 폐쇄되고 밀폐된다. 결과적으로 기판 S는 챔버(1940) 내 처리 주기에 종속된다 (도 24A, 블록 2440). 처리한 후에 상기 설명한 것과 반대 방식으로 로드 락(900LL)으로 기판 S가 되돌아오고, 로봇(2120)은 처리된 기판을 잡고 로드 포트(1900) 하나에 결합된 운송 카세트 내에 놓는다 (도 24A, 블록2455). 이 시점에서 시스템은 하나의 주기를 완성하고, 새로운 기판을 처리하기 위한 새로운 주기가 초기화된다. 처리된 기판이 로드 락에 놓여지면, 처리를 위해 로드 락으로부터 새 기판이 이송 챔버로 운송될 수 있도록 하기 위한 버퍼를 포함하는 로드 락(900LL)을 구현할 수 있다.
도 20에서 도시한 다른 실시예와 도 24B를 참고할 때, 로봇(2130´)은 두 개의 끝 작동체를 가지고 있으며, 끝 작동체는 기판이 처리될 때 기판을 잡거나 내려 놓는 선택적인 사용을 위하여 차동적으로 구동이 될 수 있다. 제2 끝 작동체는 16B와 17B에서 나타낸 것처럼, 유사한 궤적을 통해서 이동할 수 있다. 추가적인 끝 작동체는 버퍼 선반 없이도 기판이 저장될 수 있게 하여, 상기에서 설명한 대로 기판의 빠른 교환을 허용함으로써 시스템의 산출량을 증가시킨다.
작동에 있어서, 각각의 끝 작동체는 처리를 위한 기판을 초기에 고정한다 (도 24B, 블록 2460). 끝 작동체 하나는 처리를 위한 처리 챔버에 기판을 내려 놓는다 (도 24B, 블록 2465). 처리 주기가 완성된 후, 처리된 기판은 비어 있는 끝 작동체가 잡아 올리고 처리 챔버에서 후퇴된다 (도 24B, 블록 2470). 처리된 기판을 잡고있는 끝 작동체는, 미처리된 기판을 잡고있는 차동적으로 결합된 끝 작동체가 처리 챔버로 미처리된 기판을 놓기 위하여 전방으로 움직이는 동안에, 저장 위치로 이동하게 된다 (도 24B, 블록 2475)(예, 기판의 빠른 교환). 프로세스를 진행하는 동안에, 사전에 로드 락은 벤트되고, 전방 끝 로봇이 새 기판을 로드(load)하는 것을 허용하도록개방된다. 새로운 기판은 차동적으로 구동되는 운송부(2130´)의 끝 작동체에 의하여 로드 락(100)에서 처리된 기판과 교환될 수 있다.
이러한 방식으로 단순화가 되어, 아주 높은 융통성 있는 운송 시스템은 개별적인 처리 챔버를 지원하기 위해 구성된다. 전체 운송 메커니즘은 프로세스 주기 동안에 다음 프로세스 순환을 위하여 재순환하고 처리 챔버로 기판을 배급하기 위한 메커니즘을 제공한다. 이것은 기판 직경의 증가에 따라 나타나는 번거로운 시스템을 회피함으로써, 기존의 전방 끝 시스템에 일체형 처리 모듈을 실장하여 처리 모듈이 나란히 배치될 수 있게 한다.
기판 처리에 있어서 진공을 위해 로드 락(900LL)을 펌프하기 위한 진공 펌프(140)의 작동으로 주요한 사이클링 이벤트를 실현시킬 수 있다. 또한 펌프(140)는 처리 모듈(1940)과 이송 챔버(900TC)에서 진공을 유지하도록작동한다. 압력 센서는 예로서, 로드 락 압력의 표시지수를 가진 컨트롤러(310)가 제공되고, 로드 락(900LL)에서 압력을 감지할 수도 있다. 진공을 위하여 로드 락에 펌프하기 위하여 필요한 시간은 챔버(900LL)의 볼륨에 달려있다. 로드 락 챔버의 볼륨을 최소화하는데 있어서, 로드 락(900LL)에 걸리는 가스의 볼륨을 최소화하기 위하여 챔버 벽의 상단과 하단, 측면을 외형으로 채워지는 기판을 버퍼하거나 기판의 운송을 위해 챔버 내의 모든 공간 사용이 필요한 것은 아니다.
도 24C를 참조하여, 컨트롤러(310)는 도24A와 도 24B에 관하여 상기 설명한 밸브와 이송 로봇을 작동시키기 위한 적당한 제어 알고리즘을 포함할 수도 있다는 것을 유의해야 한다. 바람직한 처리 제어 시스템(2480)은, 도 24C에서 도시가 되었듯이 상기 설명한 다양한 기능을 수행하기 위하여 사용될 수 있다. 처리 모듈 각각은 작동 단계의 시간 시퀀스와 처리의 증진을 모니터하도록 컨트롤러(310)로 정보를 환류하기 위한 적합한 센싱 소자가 제공될 것이다.
도면 25A-F를 참고하여, 기판 이송 시스템의 다른 바람직한 실시예를 설명한다. 처리 시스템은 이전에 설명한 시스템의 처리 시스템과 실질적으로 유사할 수도 있다. 본 실시예에서 이송 챔버(2520)는 (EFEM을 통하여 로드 포트 모듈로 결합됨이 없이) 로드 포트 모듈(2510)에 직접적으로 결합될 수도 있다. 대체 실시예에서 이송 챔버는 다른 적합한 구성을 가질 수 있다. 본 예에서, 처리 시스템은 단일 처리 모듈을 가진 진공 시스템을 단독으로(EFEM-less) 구성되어 도시되었다. 대체 실시예에서, 처리 시스템은 EFEM을 방해하지 않고 로드 포트 모듈에 직접적으로 연결되는 이송 챔버를 가지고, 도 20-21에 도시한 것과 일반적으로 유사한 어셈블리에서 클러스트화 될 수도 있다. 이송 챔버(2520)는 기판의 단일 통과 이송 또는 "1회 터치" 기능을 통해 로드 포트 모듈(2510)로부터 기판을 처리 모듈로 직접 이송하도록 구성할 수도 있다 (예로, 기판은 로드 포트와 처리 모듈 사이로 이송하는 동안에 하나의 이송 장치로 한번 처리됨). 예를 들어, 이송 로봇은 로드 포트 모듈로부터 기판을 집을 수도 있고 다른 운송부로 기판을 이송하거나 기판을 아래로 놓지 않고 처리 모듈로 직접 기판을 이송할 수도 있다. 도 25A-F에 도시한 EFEM-less 진공 시스템은 진공 계측학, 시스템 발현 뿐만 아니라 1회성 기판 제조와 기판의 연구 개발 등을 포함한 응용 프로그램을 적용할 수 있다. EMEF 없는 시스템의 작동은 저렴한 가격의 진공 시스템을 제공할 수 있다.
하나의 실시예에서, 진공 시스템은 기판 카세트 승강기/인덱서, 로드 락/이송 챔버(2520)와 단일 처리 모듈(2530)을 가진 로드 포트 모듈(2510)을 포함한다. 이송 챔버(2520)는 도 13과 14 A-C에 관하여 상기 설명한 것처럼 컴팩트 2날(axe) 이중 끝 작동체 로봇과 실제로 유사한 이송 로봇(2540)을 포함할 수도 있다. 이 예에서, 로드 포트 모듈(2510)은 승강기/인덱서를 포함하기 때문에, 이송 로봇(2540)은 Z-축 구동부를 갖지 않을 수도 있다. 이송 로봇과 관련되어 인덱스되고운반기로부터 언 로드(un-load)된 기판 카세트를 허용하도록 하는 이송 쳄버와 인터페이스 플랜지로 운송하기 위한 로드 포트 사이에 적합한 인터페이스가 제공될 수 있다. 로드 락/이송 챔버는 이송 모듈(200)의 이송 챔버 부분(200TC)(밸브(700)와 구성)과 실질적으로 유사할 수 있다.
승강기/인덱스가 장착되지 않은 로드 포트 모듈(2510)의 다른 실시예에서, 이송 로봇(2540)은 Z축 구동부를 장착할 수도 있다. Z축 구동부를 포함하는 로봇(2540)에 있어서 이송 챔버(2520)는 도 8과 도 9에서 최적으로 볼 수 있는 V2의 내부 볼륨을 가진 이송 챔버 부분(200TC)보다 더 큰 내부 볼륨 V1을 가질 수도 있는 이송 챔버와 실질적으로 유사할 수 있다. 이송 챔버(100)의 더 큰 볼륨은 이송 로봇(2540)의 Z 운동을 수용할 수도 있다. 그러나 이송 로봇(2540)이Z 구동부를 통합한 경우, 모터의 회전자 부분은 13B에 관해서 상기에 언급한 것처럼, 펌프다운(pump-down) 주기 시간을 증가시킬 수 있는 진공 환경 상태로 노출될 수 있다. 대체 실시예에서, 진공 환경으로부터 Z 구동부의 회전자 부분을 분리시키기 위한 적합한 밀봉을 할 수도 있다. 도 25A-F에 도시한 처리 시스템의 작동은 기판이 로드 포트(2510)의 기판 홀더로부터운송되고 처리 모듈(2530)로 직접적으로 이송되는 도 24B에 관해서 상기 설명한 것과 실질적으로 유사할 수 있다.
도 25C-F는 다른 구성의 로드 포트 모듈(2510´, 2510´´)을 가진 도 25A-B에 운송 시스템의 동일 크기 도면과 측면을 도시하였다. 실시예에서, 로드 포트 모듈(2510´)은 하단 개구부 로드 포트 모듈로 도시되었고, 로드 포트 모듈(2510´´)이 승강기/인덱서를 갖지 않을 수도 있는 반면, 기판 승강기/인덱서를 포함할 수 있다. 예를 들어 운송부(2540)는 Z축 구동을 갖추지 않을 수도 있는 하나의 실시예에서, 로드 포트 모듈(2510´)은 로드 포트 모듈(2510´´)에 포함되지 않은 승강기/인덱서를 포함할 수도 있다. 로드 포트 모듈(2510´) 상부 또는 하단의 개구부 기판 카세트로 작동하도록 구성될 수도 있다. 이러한 발명으로 로드 포트(2510´)가 적용된 기판 카세트가 출원된 예는 2006년 11월 3일, 2006년 11월 7일, 2007년 4월 18일 각각 출원된 발명의 명칭은 "REDUCED CAPACITY CARRIER TRANSPORT, LOAD PORT, BUFFER SYSTEM"이며, 미국 출원 번호 11/556,584, 11/594,365, 11/787,981에서 전체적인 실시예를 참조할 수 있다. 운송부(2540)에 Z축 구동을 갖추고 있는 다른 실시예에서, 로드 포트 모듈(2510´´)은 슬릿 밸브 또는 대기밸브(960)(도 9A-D 참조)를 통해 측면 개구부 기판 운송기로 작동하도록 구성될 수도 있다. 이송 챔버/로드 락(2520)의 내부 공기 누출을 방지하면서, 로드 포트 모듈(2510)과 로봇(2520) 및/또는 기판 카세트의 수직 운동을 하도록 로드 포트 모듈(2510)과 이송 챔버/로드 락(2520) 사이에 벨로우 밀봉 등과 같은 적당한 밀봉을 할 수도 있다.
구성 및 바람직한 이송 챔버(200TC)와 이송 챔버(100)의 컴팩트한 크기는 같은 중심선 CL을 따라 로드 포트 모듈, 이송 챔버(2520) 및 처리 모듈(2530)을 정렬할 수 있게 한다. 또한 로드 락/이송 챔버의 감소된 볼륨은 산출량을 증가시키기 위해 로드 락/이송 챔버를 벤팅 및/또는 진공 펌프하는데 걸리는 시간을 줄여 준다.
도 26을 참조하여, 실시예에 이용될 수 있는 다른 처리 구성을 나타내었다. 실시예에서, 이송 챔버(2600TC)는 2006년 5월 26일 에 출원된 미국 특허 출원 제11/442,511, 발명의 명칭 "LINEARY DISTRIBUTED SEMICONDUCTOR WORKPIECE PROCESSING TOOL"에 자세한 실시예가 설명되어 있으며, 선형으로 분배된 처리 툴(2600)에서 모듈형 이송 챔버로서 이용될 수도 있고, 이송 챔버(100) 또는 (900TC)와 실질적으로유사할 수도 있다. 이송 챔버(2600TC)는 도 14A-C에 관하여 상기 설명한 이송 로봇(1400)과 실질적으로 유사한 이송 로봇(1400´)을 포함할 수도 있다. 이송 로봇(1400´)이 하나의 끝 작동체를 가지고 있는 것으로 도시가 되어 있지만, 이송 로봇은 차동적인 구동이 일어나거나일어나지 않을 수 있는 적절한 갯수의 끝 작동체를 가질 수 있다. 이송 챔버(2600TC)는 이송 챔버(2601TC) 또는 기타 다른 기판 처리 장치(2630 - 2632)와 같은 다른 이송 챔버와 적당한 방법으로 결합되거나 연결될 수 있다. 다른 기판 처리 장치(2630 - 2632)는 처리 모듈, 로드 포트, 정렬기, 로드 락, 냉각기, 히터, 버퍼 및 다른 이송 로봇 등을 포함할 수도 있다. 기판 S는 이송 로봇(1400´)에 의하여 적당한 처리 장치(2630 - 2632) 사이 또는, 이송 로봇에서 이송 로봇으로 전달될 수도 있다. 이송 챔버(2600TC, 2601TC) 각각은 다른 처리 장치와 통신하기 위하여 각각에 4측면의 개구부(2610 - 2613)를 가질 수 있다. 이송 챔버(2600TC, 2601TC)가 4측면을 가지고 있는 것으로 도시되어 있지만, 대체 실시예에서 이송 챔버는 다른 기판 처리 장치에 개방하는 것과 무관하게 적합한 갯수의 측면을 가질 수 있다. 한 실시예에서 1개 이상의 개구부(2610 - 2613)는 이송 챔버로 연결된 처리 장치로부터 이송 챔버 (2600TC, 2601TC)를 분리시키기 위한 밸브를 포함할 수도 있다.
이송 챔버(2600TC, 2601TC)의 컴팩트 사이즈와 이송 로봇(1400´)의 비율에 도달하기 위한 최대 억제 기능은 선형적 배분 처리 툴의 풋프린트를 감소시킬 수도 있다. 또한 이송 챔버의 최소화된 볼륨은 이송 챔버의 일정한 펌프 다운(pump down) 주기 시간을 감소시킬 수 있다. 로드 락(110) 및 (900LL)처럼 상기 설명한 이송 챔버(2600TC, 2601TC)에 대하여 실질적으로 유사한 방법으로 선형의 분배된 처리 툴로서 이용되도록 로드 락이 실현될 수 있다.
도 27A-27C를 참조하여 다른 바람직한 기판 이송 시스템이 도시가 되었다. 이 예에서, 이송 시스템은 공기 이송 모듈(2710), 로드 포트 모듈(1900)과 처리 모듈(1940)을 포함한다. 2개 로드 포트 모듈(1900)과 1개 처리 모듈(1940)이 도면에 도시가 되었으나, 적당한 갯수의 로드 포트 모듈과 처리 모듈이 이송 모듈(2710)에 결합될 수 있다. 이 예에서, 이송 모듈(2710)은 장비 전방 끝 모듈(도 1의EFEM150과 유사)과 실질적으로 유사한 공기 모듈로 구성될 수 있다. 이송 모듈(2710)은 로봇을 대기 환경에서 작동하도록 구성하는 상기 설명한 로봇(1400)과 실질적으로 유사할 수 있는 이송 로봇(2700)을 포함한다. 이송 로봇(2710)은 로봇(2700)이 이동모듈(2710)로 결합된 다양한 모듈에서 기판을 집거나/놓을 수 있도록 화살표(2730) 방향으로 이동될 수 있는 트랙(2720)에 실장될 수도 있다. 해당 목적으로, 이송 장치가 실장된 적당한 트랙 예는 2002년 5월 29일 자로 출원된 미국 특허 출원 제 10/159,726의 발명의 명칭 "Dual Arm Substrate Transport Apparatus"에서 참고하여 찾아볼 수 있다.
도 16B에 관하여 상기 설명한 것과 실제로 유사할 수 있는 이송 로봇(2700)의 동작 프로파일이 실현될 수 있다. 예를 들어, 끝 작동체(2750)에 위치한 기판 S는 이송 모듈(2710) 외부에 있는 동안 통로(1680)와 실질적으로 유사한 직선이나 선형 통로를 따르고, 이송 모듈(2710) 내부에 있는 동안 통로(1670)와 실질적으로 유사한 아치형이나 U-형 통로를 따르게 된다 (즉, 로드 락/버퍼, 처리 모듈, 또는 로드 포트 안쪽). 또한 한 실시예에서 실질적으로 직선이나 선형 통로는 이송 모듈(2710)의 작동 통로일 수 있는 스테이션 중앙선 SCL1, SCL2를 따라갈 수도 있다.
본 예에서, 이송 모듈(2710)은 상기 언급한 "1회 터치" 기능으로 로드 포트(1900)와 처리 모듈(1940) 사이에 기판을 이송하기 위한 로드 포트 모듈(1900)과 처리 모듈(1940)에 직접 결합되어 도시된다. 다른 실시예에서, 도 1B와 도2B에 이송 모듈을 도시한 대로 로드 포트와 로드 락에 결합될 수도 있다. 대체 실시예에서, 이송 모듈(2710)은 로드 락, 로드 포트 및 처리 모듈의 조합 형태로 직접 결합될 수도 있다.
개시된 실시예들은 개별적으로 또는 적절히 조합하여 사용될 수 있음을 이해할 수 있을 것이다. 또한, 전술한 설명은 단지 예시적인 것이며 해당 기술분야에서 통상의 지식을 가진 자라면 하기의 본 실시예의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 실시예를 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다. 따라서, 본 실시예는 다양한 변화와 변경 및 균등한 타 실시예를 포함할 수 있다.

Claims (21)

  1. 기판을 운송하고 저장하기 위한 최소 1개의 기판 컨테이너를 잡도록 구성된 로드 포트 모듈;
    기판 처리 챔버;
    상기 기판 처리 챔버와 상기 로드 포트 모듈을 결합하기 위해 구성되고, 격리된 공기 상태를 유지할 수 있는 분리 가능한 이송 챔버; 및
    상기 이송 챔버에 고정된 구동부와 최소 1개의 기판을 지지하도록 구성된 SCARA 암을 가지고 있는 상기 이송 챔버 내에 최소 부분적으로 실장된 기판 이송부;를 포함하고,
    상기 SCARA 암은 최소 1개의 기판 컨테이너 및 최소 1개 기판에 '1회 터치' 기능을 적용한 처리 챔버 사이에 최소 1개 기판을 운송하기 위하여 상기 이송 챔버를 통하여 실질적으로 직선인 경로를 따라 연속적 선형 운동으로 양방향 연장되도록 구성되고,
    상기 SCARA 암은 제1 암 링크, 제2 암 링크, 및 상기 제1과 제2 암 링크가 불일치한 길이로, 연속으로 선회되도록 서로 결합된 최소 1개의 끝 작동체(end effector)를 포함하고,
    상기 분리 가능한 이송 챔버는 상기 격리된 공기 상태를 밀봉시키는 벽들을 갖고 실질적으로 직선인 경로와 실질적으로 정렬되며 상기 분리 가능한 이송 챔버가 상기 SCARA 암의 선형 크기에 대하여 컴팩트한 폭을 갖도록 상기 SCARA 암에 대하여 위치되는 기판 처리 시스템.
  2. 제1항에 있어서,
    상기 구동부의 독립적인 두 개의 구동축들로 상기 SCARA 암의 상기 실질적으로 직선인 경로가 초래되도록 상기 기판 이송부가 상기 SCARA 암에 동작 가능하게 연결된 구동부를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  3. 제1항에 있어서,
    상기 로드 포트 모듈은 오직 하나의 로드 포트 모듈이고, 상기 기판 처리 챔버는 오직 하나의 기판 처리 챔버인 것을 특징으로 하는 기판 처리 시스템.
  4. 제1항에 있어서,
    상기 기판 컨테이너 및 1회 터치 기능을 적용한 상기 기판 처리 챔버 사이에 기판을 운송할 때, 기판 컨테이너와 기판 이송 챔버 사이의 제1 통로와, 이송 챔버와 기판 처리 챔버 사이의 제2 통로를 따라 상기 기판은 이동되고, 상기 제1 및 제2 통로는 실질적으로 정렬되는(aligned) 것을 특징으로 하는 기판 처리 시스템.
  5. 제1항에 있어서,
    상기 SCARA 암은 기판을 이송하기 위하여 상기 SCARA 암이 관통하여 움직이는 틈새 엔벨롭을 정의하고,
    그리고 상기 이송 챔버는 상기 틈새 엔벨롭과 실질적으로 동일한 이송 영역을 형성하도록 배치된 것을 특징으로 하는 기판 처리 시스템.
  6. 제1항에 있어서,
    상기 로드 포트 모듈은 상기 이송 챔버에 직접적으로 결합되고, 상기 이송 챔버는 처리 챔버에 직접적으로 결합되는 것을 특징으로 하는 기판 처리 시스템.
  7. 제1항에 있어서,
    상기 처리 챔버, 이송 챔버 및 로드 포트 모듈은 실질적으로 공통의 중심선을 따라 정렬 배치되는 것을 특징으로 하는 기판 처리 시스템.
  8. 기판 컨테이너로부터 기판을 운송하도록 구성된 전방 끝 유닛;
    상기 전방 끝 유닛에 조인된 이송 모듈;
    상기 이송 모듈에 결합된 기판 처리 챔버로서, 상기 이송 모듈과 상기 처리 챔버는 상기 전방 끝 유닛으로부터 기판을 이송하기 위한 상기 전방 끝 유닛의 수송 통로를 따라 실질적으로 일직선으로 정렬 배치되는 기판 처리 챔버; 및
    상기 처리 챔버와 상기 전방 끝 유닛 사이에 기판을 운송하기 위하여 상기 이송 모듈을 통하여 실질적으로 직선인 경로를 따라 연속적 선형 운동으로 양방향 연장되도록 구성되고, 상기 이송 모듈 내에 최소 일부가 실장된 기판 운송부;를 포함하고,
    상기 실질적으로 직선인 경로는, 상기 이송 모듈의 중앙에 인접하여 상기 이송 모듈을 관통하여 연장되는 중심선 축을 갖고,
    상기 기판 운송부는 서로 선회되도록 조인되는 최소 1개의 끝 작동체, 2개의 암 링크들, 및 상기 이송 모듈에 실질적으로 고정된 구동부를 포함하며,
    상기 암 링크들의 제1 암 링크는 첫번째 끝에서 상기 이송 모듈의 하우징에 선회하도록 조인되며, 상기 제1 암 링크는 첫번째 길이를 가지며,
    상기 암 링크들의 제2 암 링크의 첫번째 끝은 상기 제1 암 링크의 두번째 끝에 선회되도록 조인되며, 상기 제2 암 링크는 상기 제2 암 링크의 회전이 상기 제1 암 링크의 회전에 종속되는 두번째 길이를 가지며,
    상기 최소 1개의 끝 작동체는 상기 제2 암 링크의 두번째 끝에 선회하도록 조인되며 최소 1개 기판을 지지하도록 구성되며,
    상기 최소 1개의 끝 작동체는 제1 링크와 제2 링크로부터 개별적으로 회전 구동되는 것을 특징으로 하는 기판 운송 시스템.
  9. 제8항에 있어서,
    상기 전방 끝 유닛은 실질적으로 서로 평행한 복수의 작동 통로를 포함하고, 상기 이송 모듈이 상기 복수의 작동 통로의 각각에 결합되는 것을 특징으로 하는 운송 시스템.
  10. 제8항에 있어서,
    상기 이송 모듈은 최소 1개의 기판을 버퍼링하기 위한 버퍼 부분과 운송부의 최소 일부분을 하우징하기 위한 운송 부분을 포함하는 것을 특징으로 하는 운송 시스템.
  11. 제10항에 있어서,
    상기 이송 모듈은 버퍼 부분을 운송부로부터 격리할 수 있는 공기 환경을 가진 로드 락으로 전환하도록 구성된 제거 가능한 밸브 인서트를 더 포함하는 것을 특징으로 하는 운송 시스템.
  12. 제10항에 있어서,
    상기 버퍼 부분과 운송 부분은 상기 처리 챔버와 전방 끝 유닛으로부터 선별적으로 분리할 수 있는 1개의 단일성 챔버를 형성하는 것을 특징으로 하는 운송 시스템.
  13. 제8항에 있어서,
    상기 이송 모듈은 기판 버퍼, 기판 냉각기 및 기판 정렬기 중 최소 하나를 포함하는 것을 특징으로 하는 운송 시스템.
  14. 기판을 저장하고 운송하기 위한 최소 1개의 기판 컨테이너를 지지하도록 구성된 전방 끝 모듈;
    최소 1개의 기판 처리 챔버;
    상기 최소 1개의 기판 처리 챔버와 상기 전방 끝 모듈 각각에 결합되도록 구성되고, 격리된 공기 환경을 유지할 수 있는 프레임을 갖는 최소 1개의 분리 가능한 이송 챔버;및
    상기 이송 챔버 각각에 실질적으로 고정된 구동부와 최소 1개의 기판을 지지하도록 구성된 상이한 길이의 SCARA 암을 가지고 있는 상기 최소 1개의 이송 챔버 각각 내에 최소 일부가 실장된 기판 운송부를 포함하고,
    상기 SCARA 암은 상기 전방 끝 모듈과 상기 처리 챔버 사이에 최소 1개의 기판을 운송하도록 구성되고,
    상기 전방 끝 모듈, 최소 1개의 기판 처리 챔버 및 최소 1개의 분리 가능한 이송 챔버가 상호 간에 공기 환경적으로 분리 가능한 독자적인 통로를 따라 상기 전방 끝 모듈과 상기 최소 1개의 기판 처리 챔버 각각 사이에 기판을 운송하도록 정렬 배치되고,
    상기 SCARA 암은 상기 최소 1개의 기판을 이송하기 위하여 대응되는 이송 챔버를 통하여 실질적으로 직선인 경로를 따라 연속적 선형 운동으로 양방향 연장되도록 구성되고,
    상기 실질적으로 직선인 경로는, 상기 SCARA 암의 숄더 조인트에 인접하여 상기 각 기판 이송 모듈을 관통하여 연장되는 중심선 축을 갖는 것을 특징으로 하는 기판 처리 장치.
  15. 제14항에 있어서,
    상기 최소 1개의 상이한 길이의 SCARA 암은,
    상기 숄더 조인트에 대해 첫번째 끝에서 상기 구동부의 제1 회전축에 회전적으로 결합되는 제1 암 링크;
    엘보우 조인트에 대해 상기 제1 암 링크의 두번째 끝으로 첫번째 끝에서 회전적으로 결합되며, 상기 제1 암 링크에 종속되는 제2 암 링크;
    최소 1개의 기판을 지지하도록 각각 구성되는 최소 2개의 기판 홀더들;을 포함하며,
    상기 제2 암의 길이는 상기 제1 암 링크의 길이와 상이하며,
    상기 최소 2개의 기판 홀더들은 손목형 조인트에서 상기 제2 암 링크의 두번째 끝에 회전적으로 결합되며,
    상기 최소 2개의 기판 홀더들은 실질적으로 동일하고 상호 관련된 최소 2개 끝 작동체의 역회전을 위해 구동부의 제2 회전축에만 차별적으로 결합되고,
    상기 운송부는 숄더 조인트와 관련한 최소 2개의 끝 작동체의 양방향 연장을 위해 구성되는 것을 특징으로 하는 기판 처리 장치.
  16. 제14항에 있어서,
    상기 상이한 길이의 SCARA 암은 프레임 내부에서 실질적으로 굽은 통로를 따라가고, 프레임 외부에서는 기판 운송부의 중심선을 따라 실질적으로 직선 통로를 따라 기판을 운송하도록 구성되는 것을 특징으로 하는 기판 처리 장치.
  17. 제14항에 있어서,
    상기 구동부는 최소 하나의 동작 선형 축들(axes)을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  18. 제14항에 있어서,
    상기 기판 운송 암과 구동부가 공기 또는 진공 상태에서 작동하도록 구성된 것을 특징으로 하는 기판 처리 장치.
  19. 기판 처리 시스템의 이송 챔버 내에 위치한 기판 운송 암으로 상기 기판 처리 시스템의 로드 포트에 결합된 기판 컨테이너로부터 최소 1개의 기판을 집어내는 단계;및
    기판 운송 암으로 상기 최소 1개의 기판을 기판 컨테이너로부터 상기 기판 처리 시스템의 처리 모듈로 직접 이송하는 단계;를 포함하고, 상기 기판 운송 암은 상기 이송 챔버를 통하여 실질적으로 직선인 경로를 따라 연속적 선형 운동으로 양방향 연장되고, 운반하는 동안에 상기 최소 1개의 기판이 상기 기판 운송 암에 의하여 오직 한번만 처리되고,
    상기 실질적으로 직선인 경로는, 상기 이송 챔버의 중앙에 인접하여 상기 이송 챔버를 관통하여 연장되는 중심선 축을 갖는 것을 특징으로 하는 방법.
  20. 제19항에 있어서,
    상기 이송 챔버는 상기 로드 포트와 처리 모듈을 결합하고, 상기 이송 챔버의 공기 환경을 격리시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  21. 제19항에 있어서,
    상기 기판 운송 암은 빠른 교환 운송 암을 포함하고,
    상기 방법은 실질적으로 연속적인 기판 처리를 위한 상기 빠른 교환 운송 암을 이용해서, 처리된 기판을 상기 처리 모듈로부터 제거하는 단계 및 미처리된 기판을 상기 처리 모듈에 위치시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
KR1020167013801A 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템 KR101887110B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US93891307P 2007-05-18 2007-05-18
US60/938,913 2007-05-18
PCT/US2008/064144 WO2008144664A1 (en) 2007-05-18 2008-05-19 Compact substrate transport system with fast swap robot
US12/123,329 2008-05-19
US12/123,329 US8562271B2 (en) 2007-05-18 2008-05-19 Compact substrate transport system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR20157004933A Division KR20150038360A (ko) 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템

Publications (2)

Publication Number Publication Date
KR20160066555A true KR20160066555A (ko) 2016-06-10
KR101887110B1 KR101887110B1 (ko) 2018-08-09

Family

ID=40122195

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020097026390A KR20100031681A (ko) 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
KR20157004933A KR20150038360A (ko) 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
KR1020167013801A KR101887110B1 (ko) 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020097026390A KR20100031681A (ko) 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
KR20157004933A KR20150038360A (ko) 2007-05-18 2008-05-19 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템

Country Status (4)

Country Link
US (2) US8562271B2 (ko)
JP (4) JP5543336B2 (ko)
KR (3) KR20100031681A (ko)
WO (1) WO2008144664A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230065616A (ko) * 2021-11-05 2023-05-12 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US10086511B2 (en) * 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
KR102399769B1 (ko) * 2010-12-29 2022-05-19 에바텍 아크티엔게젤샤프트 진공 처리 장치 및 이의 제조 방법
US20130069450A1 (en) 2011-09-16 2013-03-21 Persimmon Technologies, Corp. Robot Drive With Passive Rotor
US9202733B2 (en) * 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
KR101271794B1 (ko) * 2011-12-01 2013-06-07 박호현 로드락 챔버
JP5885528B2 (ja) * 2012-02-14 2016-03-15 株式会社安川電機 搬送装置
KR102465277B1 (ko) * 2013-01-18 2022-11-09 퍼시몬 테크놀로지스 코포레이션 로봇, 전자 장치 처리 시스템, 기판 이송 방법
US9149936B2 (en) 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
TWI627696B (zh) 2013-01-22 2018-06-21 布魯克斯自動機械公司 基材運送
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
TWI695447B (zh) * 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP6708546B2 (ja) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド 密封型ロボット駆動部
KR102383699B1 (ko) 2013-11-13 2022-04-06 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
KR20210148417A (ko) 2013-11-13 2021-12-07 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
EP3140697A1 (en) * 2014-05-07 2017-03-15 Mapper Lithography IP B.V. Enclosure for a target processing machine
JP7074977B2 (ja) 2015-03-12 2022-05-25 パーシモン テクノロジーズ コーポレイション エンドエフェクタの従動運動を伴うロボット
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP7251673B2 (ja) * 2017-06-16 2023-04-04 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP6939335B2 (ja) * 2017-09-27 2021-09-22 Tdk株式会社 ロードポート装置、ロードポート装置の駆動方法
TWI628133B (zh) * 2017-11-03 2018-07-01 東台精機股份有限公司 雙向搬運臺車
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
KR102108312B1 (ko) * 2018-10-31 2020-05-12 세메스 주식회사 기판 처리 장치 및 방법
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
US11031269B2 (en) * 2019-08-22 2021-06-08 Kawasaki Jukogyo Kabushiki Kaisha Substrate transport robot, substrate transport system, and substrate transport method
US11823932B2 (en) * 2020-08-26 2023-11-21 Samsung Electronics Co., Ltd. Substrate processing system and substrate processing apparatus

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590381A (ja) * 1991-09-26 1993-04-09 Tokyo Electron Ltd 搬送装置
US5102280A (en) 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
IT1251017B (it) 1991-05-21 1995-04-28 Ugo Crippa Meccanismo per compiere traiettorie prefissate assimilabili ad ellittiche
JP3429786B2 (ja) * 1991-05-29 2003-07-22 東京エレクトロン株式会社 半導体製造装置
JPH0536809A (ja) * 1991-07-31 1993-02-12 Mitsubishi Electric Corp 半導体基板処理装置に於ける半導体基板搬送アーム
US5334761A (en) * 1992-08-28 1994-08-02 Life Technologies, Inc. Cationic lipids
JPH0697258A (ja) * 1992-09-17 1994-04-08 Hitachi Ltd 連続真空処理装置
JPH06285780A (ja) * 1993-04-06 1994-10-11 Kokusai Electric Co Ltd 搬送用ロボット
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
JPH07142551A (ja) * 1993-11-20 1995-06-02 Tokyo Electron Ltd 搬送アーム装置及びこれを用いた処理室集合装置
JP3554534B2 (ja) * 1995-12-12 2004-08-18 東京エレクトロン株式会社 半導体処理装置の基板支持機構及び基板交換方法、並びに半導体処理装置及び基板搬送装置
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JPH11129175A (ja) * 1997-10-30 1999-05-18 Sankyo Seiki Mfg Co Ltd 多関節ロボット
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
KR100283425B1 (ko) 1998-09-24 2001-04-02 윤종용 반도체소자의금속배선형성공정및그시스템
US6960057B1 (en) * 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
JP4256551B2 (ja) 1998-12-25 2009-04-22 東京エレクトロン株式会社 真空処理システム
TW444321B (en) * 1999-01-12 2001-07-01 Tokyo Electron Ltd Vacuum processing apparatus
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
JP2001135704A (ja) 1999-11-09 2001-05-18 Sharp Corp 基板処理装置及び基板搬送用トレイの搬送制御方法
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
KR100364656B1 (ko) 2000-06-22 2002-12-16 삼성전자 주식회사 실리사이드 증착을 위한 화학 기상 증착 방법 및 이를수행하기 위한 장치
JP4576694B2 (ja) * 2000-10-11 2010-11-10 東京エレクトロン株式会社 被処理体の処理システムの搬送位置合わせ方法及び被処理体の処理システム
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
JP2002164402A (ja) * 2000-11-24 2002-06-07 Meidensha Corp 基板搬送用ロボット
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US20030014155A1 (en) * 2001-07-12 2003-01-16 Applied Material, Inc. High temperature substrate transfer robot
JP3962609B2 (ja) * 2002-03-05 2007-08-22 東京エレクトロン株式会社 搬送装置
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR100483428B1 (ko) 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
KR101121938B1 (ko) 2003-03-31 2012-03-14 램 리써치 코포레이션 웨이퍼 처리를 위한 챔버 및 관련 방법
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP4673548B2 (ja) 2003-11-12 2011-04-20 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP4356480B2 (ja) * 2004-03-03 2009-11-04 株式会社安川電機 搬送装置と半導体製造装置
JP2005259858A (ja) 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP4524132B2 (ja) 2004-03-30 2010-08-11 東京エレクトロン株式会社 真空処理装置
WO2006004718A1 (en) 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
US20060011297A1 (en) * 2004-07-15 2006-01-19 Jusung Engineering Co., Ltd. Semiconductor manufacturing apparatus
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7472581B2 (en) 2005-03-16 2009-01-06 Tokyo Electron Limited Vacuum apparatus
JP4566798B2 (ja) 2005-03-30 2010-10-20 東京エレクトロン株式会社 基板位置決め装置,基板位置決め方法,プログラム
JP4595053B2 (ja) * 2005-04-11 2010-12-08 日本電産サンキョー株式会社 多関節型ロボット
US7286890B2 (en) 2005-06-28 2007-10-23 Tokyo Electron Limited Transfer apparatus for target object
US9248568B2 (en) * 2005-07-11 2016-02-02 Brooks Automation, Inc. Unequal link SCARA arm
US8573919B2 (en) * 2005-07-11 2013-11-05 Brooks Automation, Inc. Substrate transport apparatus
JP4933789B2 (ja) 2006-02-13 2012-05-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
US7738987B2 (en) 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230065616A (ko) * 2021-11-05 2023-05-12 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템

Also Published As

Publication number Publication date
US20140044504A1 (en) 2014-02-13
US20090003976A1 (en) 2009-01-01
JP5543336B2 (ja) 2014-07-09
JP2017143270A (ja) 2017-08-17
US9401294B2 (en) 2016-07-26
WO2008144664A1 (en) 2008-11-27
KR20150038360A (ko) 2015-04-08
JP6898403B2 (ja) 2021-07-07
JP2014123758A (ja) 2014-07-03
JP6630031B2 (ja) 2020-01-15
US8562271B2 (en) 2013-10-22
JP2020010059A (ja) 2020-01-16
KR101887110B1 (ko) 2018-08-09
KR20100031681A (ko) 2010-03-24
JP2011504288A (ja) 2011-02-03
JP6480488B2 (ja) 2019-03-13

Similar Documents

Publication Publication Date Title
KR101887110B1 (ko) 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP5139253B2 (ja) 真空処理装置及び真空搬送装置
JP4472332B2 (ja) ロードロック用高速交換2重化基板移送
JP7263641B2 (ja) 基板搬送装置
JP6843493B2 (ja) 基板処理装置
TWI408766B (zh) Vacuum processing device
TW201123340A (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP2010527149A (ja) 機械的スイッチ機構を利用する複数の可動アームを有する基板搬送装置
US20230271792A1 (en) Substrate processing apparatus
US9117859B2 (en) Compact processing apparatus
TWI474418B (zh) 基板運送裝置、基板運送系統及運送基板之方法
KR102139613B1 (ko) 기판 반송 장치 및 기판 처리 장치
TWI829700B (zh) 基板運送裝置
TW202405992A (zh) 具有機器人的淺深度設備前端模組

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right