KR20150077357A - 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 Download PDF

Info

Publication number
KR20150077357A
KR20150077357A KR1020140189424A KR20140189424A KR20150077357A KR 20150077357 A KR20150077357 A KR 20150077357A KR 1020140189424 A KR1020140189424 A KR 1020140189424A KR 20140189424 A KR20140189424 A KR 20140189424A KR 20150077357 A KR20150077357 A KR 20150077357A
Authority
KR
South Korea
Prior art keywords
gas
film
supplying
layer
substrate
Prior art date
Application number
KR1020140189424A
Other languages
English (en)
Other versions
KR101639490B1 (ko
Inventor
아츠시 사노
요시로 히로세
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150077357A publication Critical patent/KR20150077357A/ko
Application granted granted Critical
Publication of KR101639490B1 publication Critical patent/KR101639490B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

박막을 형성할 때에, 조성비 제어의 제어성이나 막 특성의 제어성을 높인다. 적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 공정과, 적어도 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 기판 위에, 제1 막과 제2 막이 적층되어 이루어지는 적층막을 형성하는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램{SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SUBSTRATE PROCESSING APPARATUS AND PROGRAM}
본 발명은 기판 위에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램에 관한 것이다.
트랜지스터의 미세화와 함께, 게이트 전극의 사이드 월 스페이서(SWS) 등을 구성하는 절연막 등의 박막에는, 성막 온도의 저온화, 불화수소(HF)에 대한 내성의 향상, 유전율의 저하가 요구된다. 이 때문에, 절연막으로서, 실리콘 질화막(SiN막)에 붕소(B)를 첨가한 실리콘 붕질화막(SiBN막)이나, 또한 탄소(C)를 첨가한 실리콘 붕탄질화막(SiBCN막)의 채용이 검토되고 있다.
상술한 박막은, 높은 단차 피복성(스텝 커버리지 특성)이 요구되기 때문에, 복수 종의 처리 가스를 교대로 공급하는 교대 공급법에 의해 형성되는 경우가 많다. 예를 들어, 실리콘(Si) 소스로서 Si 함유 가스를, B 소스로서 B 함유 가스를, C 소스로서 C 함유 가스를, 질소(N) 소스로서 N 함유 가스를 사용하고, 이 처리 가스를 기판에 대하여 순서대로 공급하는 사이클을 소정 횟수 행함으로써, 기판 위에 SiBCN막을 형성할 수 있다. 그러나, 상술한 방법으로는, 조성비 제어의 제어성이나 막 특성 제어의 제어성을 높이는데 한계가 있다.
본 발명은, 박막을 형성할 때에, 조성비 제어의 제어성이나 막 특성 제어의 제어성을 높이는 것이 가능한 기술을 제공한다.
본 발명의 일 형태에 의하면, 적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 공정과, 적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 처리실 내의 기판에 대하여 소정 원소를 포함하는 원료 가스를 공급하는 제1 가스 공급계와, 상기 처리실 내의 기판에 대하여 보라진환 골격 비함유의 붕소 함유 가스를 공급하는 제2 가스 공급계와, 상기 처리실 내의 기판에 대하여 보라진환 골격을 포함하는 가스를 공급하는 제3 가스 공급계와, 상기 처리실 내의 기판에 대하여 질소 함유 가스를 공급하는 제4 가스 공급계와, 상기 처리실 내의 기판을 가열하는 히터와, 상기 처리실 내의 압력을 조정하는 압력 조정부와, 적어도 상기 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 처리와, 적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 처리실 내의 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 처리를 행하도록, 상기 제1 가스 공급계, 상기 제2 가스 공급계, 상기 제3 가스 공급계, 상기 제4 가스 공급계, 상기 히터 및 상기 압력 조정부를 제어하도록 구성되는 제어부를 갖는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면, 적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 수순과, 적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 수순을 포함하는 사이클을 소정 횟수 행함으로써, 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 수순을 컴퓨터에 실행시키는, 기록매체에 저장된 프로그램이 제공된다.
본 발명에 따르면, 박막을 형성할 때에, 조성비 제어의 제어성이나 막 특성 제어의 제어성을 높이는 것이 가능하게 된다.
도 1은 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면이다.
도 3은 본 발명의 일 실시 형태에서 적절하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4의 (a)는, 본 발명의 일 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을, (b)는 그 변형예 1을 도시하는 도면이다.
도 5의 (a), (b)는 각각, 본 발명의 일 실시 형태의 성막 시퀀스에서의 가스 공급 타이밍의 변형예 2, 3을 도시하는 도면이다.
도 6의 (a), (b)는 각각, 본 발명의 일 실시 형태의 성막 시퀀스에서의 가스 공급 타이밍의 변형예 4, 5를 도시하는 도면이다.
도 7의 (a), (b)는 각각, 본 발명의 일 실시 형태의 성막 시퀀스에서의 가스 공급 타이밍의 변형예 6, 7을 도시하는 도면이다.
도 8의 (a), (b)는 각각, 본 발명의 일 실시 형태의 성막 시퀀스에서의 가스 공급 타이밍의 변형예 8, 9를 도시하는 도면이다.
도 9의 (a)는, 보라진의 화학 구조식을, (b)는 보라진 화합물의 화학 구조식을, (c)는 n,n',n"-트리메틸보라진의 화학 구조식을, (d)는 n,n',n"-트리-n-프로필보라진의 화학 구조식을 도시하는 도면이다.
<본 발명의 일 실시 형태>
이하, 본 발명의 일 실시 형태에 대해서, 도 1 내지 도 3을 사용하여 설명한다.
(1) 기판 처리 장치의 구성
도 1에 도시한 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 유지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 통 중공부에는, 처리실(201)이 형성되어 있다. 처리실(201)은, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세에서 수직 방향으로 다단으로 정렬된 상태에서 수용 가능하게 구성되어 있다.
처리실(201) 내에는, 노즐(249a 내지 249d)이, 반응관(203)의 하부를 관통하도록 설치되어 있다. 노즐(249a 내지 249d)에는, 가스 공급관(232a 내지 232d)이 각각 접속되어 있다. 가스 공급관(232b)에는 가스 공급관(232f)이, 가스 공급관(232d)에는 가스 공급관(232e)이 각각 접속되어 있다. 이와 같이, 반응관(203)에는, 4개의 노즐(249a 내지 249d)과, 6개의 가스 공급관(232a 내지 232f)이 설치되어 있고, 처리실(201) 내에 복수 종류, 여기에서는 6종류의 가스를 공급할 수 있도록 구성되어 있다.
단, 본 실시 형태의 처리로(202)는, 상술한 형태에 한정되지 않는다. 예를 들어, 반응관(203)의 하방에, 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을, 매니폴드의 측벽을 관통하도록 설치해도 된다. 이 경우, 매니폴드에, 후술하는 배기관(231)을 더 설치해도 된다. 이 경우에도, 배기관(231)을 매니폴드가 아니라, 반응관(203)의 하부에 설치해도 된다. 이와 같이, 처리로(202)의 노구부를 금속제로 하고, 이 금속제의 노구부에 노즐 등을 설치해도 된다.
가스 공급관(232a 내지 232f)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a 내지 241f) 및 개폐 밸브인 밸브(243a 내지 243f)가 각각 설치되어 있다. 가스 공급관(232a 내지 232d)의 밸브(243a 내지 243d)보다 하류측에는, 불활성 가스를 공급하는 가스 공급관(232g 내지 232j)이 각각 접속되어 있다. 가스 공급관(232g 내지 232j)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241g 내지 241j) 및 개폐 밸브인 밸브(243g 내지 243j)가 각각 설치되어 있다.
가스 공급관(232a, 232b, 232d)의 선단부에는, 노즐(249a, 249b, 249d)이 각각 접속되어 있다. 노즐(249a, 249b, 249d)은, 도 2에 도시한 바와 같이, 반응관(203)의 내벽과 웨이퍼(200)의 사이에서의 원 환상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 각각 설치되어 있다. 즉, 노즐(249a, 249b, 249d)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 노즐(249a, 249b, 249d)은, L자형의 롱 노즐로서 각각 구성되어 있고, 이들의 각 수평부는, 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 이들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단측에서부터 타단측을 향해 상승되도록 설치되어 있다. 노즐(249a, 249b, 249d)의 측면에는, 가스를 공급하는 가스 공급 구멍(250a, 250b, 250d)이 각각 형성되어 있다. 가스 공급 구멍(250a, 250b, 250d)은, 반응관(203)의 중심을 향하도록 각각 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 가스 공급 구멍(250a, 250b, 250d)은, 반응관(203)의 하부에서부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
가스 공급관(232c)의 선단부에는, 노즐(249c)이 접속되어 있다. 노즐(249c)은, 가스 분산 공간인 버퍼실(237) 내에 설치되어 있다. 버퍼실(237)은, 반응관(203)의 내벽과 웨이퍼(200)의 사이에서의 원 환상의 공간에, 또한, 반응관(203) 내벽의 하부에서부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라서 설치되어 있다. 즉, 버퍼실(237)은, 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부에는, 가스를 공급하는 가스 공급 구멍(250e)이 형성되어 있다. 가스 공급 구멍(250e)은, 반응관(203)의 중심을 향하도록 개구되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 가스 공급 구멍(250e)은, 반응관(203)의 하부에서부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
노즐(249c)은, 버퍼실(237)의 가스 공급 구멍(250e)이 형성된 단부와 반대측의 단부에, 반응관(203)의 내벽의 하부에서부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해 상승되도록 설치되어 있다. 즉, 노즐(249c)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 노즐(249c)은, L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측에서부터 타단측을 향해 상승되도록 설치되어 있다. 노즐(249c)의 측면에는, 가스를 공급하는 가스 공급 구멍(250c)이 형성되어 있다. 가스 공급 구멍(250c)은, 버퍼실(237)의 중심을 향하도록 개구되어 있다. 가스 공급 구멍(250c)은, 가스 공급 구멍(250e)과 마찬가지로, 반응관(203)의 하부에서부터 상부에 걸쳐 복수 형성되어 있다. 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우, 복수의 가스 공급 구멍(250c)의 개구 면적 및 개구 피치를, 상류측(하부)에서부터 하류측(상부)에 걸쳐 각각 동일하게 하면 된다. 또한, 버퍼실(237) 내와 처리실(201) 내의 차압이 큰 경우, 가스 공급 구멍(250c)의 개구 면적을 상류측에서부터 하류측을 향해 서서히 크게 하거나, 가스 공급 구멍(250c)의 개구 피치를 상류측에서부터 하류측을 향해 서서히 작게 하면 된다.
가스 공급 구멍(250c)의 각각의 개구 면적이나 개구 피치를, 상류측에서부터 하류측에 걸쳐서 상술한 바와 같이 조절함으로써, 가스 공급 구멍(250c) 각각으로부터, 유속의 차는 있지만, 유량이 거의 동량인 가스를 분출시키는 것이 가능하게 된다. 그리고, 이들 복수의 가스 공급 구멍(250c) 각각으로부터 분출하는 가스를, 일단, 버퍼실(237) 내에 도입함으로써, 버퍼실(237) 내에서 가스의 유속 차의 균일화를 행하는 것이 가능하게 된다. 복수의 가스 공급 구멍(250c) 각각으로부터 버퍼실(237) 내에 분출된 가스는, 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 복수의 가스 공급 구멍(250e)으로부터 처리실(201) 내에 분출된다. 복수의 가스 공급 구멍(250c) 각각으로부터 버퍼실(237) 내에 분출된 가스는, 가스 공급 구멍(250e) 각각으로부터 처리실(201) 내에 분출될 때에는, 균일한 유량과 유속을 갖는 가스가 된다.
이와 같이, 본 실시 형태에서는, 반응관(203)의 내벽과, 적재된 복수의 웨이퍼(200)의 단부로 정의되는 원 환상의 세로로 긴 공간 내, 즉, 원통 형상의 공간 내에 배치된 노즐(249a 내지 249d) 및 버퍼실(237)을 경유하여 가스를 반송하고 있다. 그리고, 노즐(249a 내지 249d) 및 버퍼실(237)에 각각 개구된 가스 공급 구멍(250a 내지 250e)으로부터, 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시키고 있다. 그리고, 반응관(203) 내에서의 가스의 주된 흐름을, 웨이퍼(200)의 표면과 평행한 방향, 즉, 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 박막의 막 두께 균일성을 향상시키는 것이 가능하게 된다. 웨이퍼(200)의 표면 위를 흐른 가스, 즉, 반응 후의 잔류 가스는, 배기구, 즉, 후술하는 배기관(231)의 방향을 향해 흐른다. 단, 이 잔류 가스의 흐름의 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향에 한정되는 것은 아니다.
가스 공급관(232a)으로부터는, 소정 원소를 포함하는 원료 가스로서, 예를 들어 소정 원소로서의 Si 및 할로겐 원소를 포함하는 할로실란 원료 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해 처리실(201) 내에 공급된다.
할로실란 원료 가스란, 기체 상태의 할로실란 원료, 예를 들어 상온 상압 하에서 액체 상태인 할로실란 원료를 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 할로실란 원료 등을 말한다. 할로실란 원료란, 할로겐기를 갖는 실란 원료이다. 할로겐기에는, 클로로기, 플루오로기, 브로모기 등이 포함된다. 즉, 할로겐기에는, 염소(Cl), 불소(F), 브롬(Br) 등의 할로겐 원소가 포함된다. 할로실란 원료는, 할로겐화물의 1종이라고도 할 수 있다. 본 명세서에서 「원료」라는 용어를 사용한 경우에는, 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우, 또는, 그 양쪽을 의미하는 경우가 있다.
할로실란 원료 가스로서는, 예를 들어 Si 및 Cl을 포함하는 원료 가스, 즉, 클로로실란 원료 가스를 사용할 수 있다. 클로로실란 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 사용할 수 있다. HCDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 사용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화시켜, 원료 가스(HCDS 가스)로서 공급하게 된다.
가스 공급관(232b)으로부터는, 보라진환 골격 비함유의 붕소 함유 가스로서, 예를 들어 보란계 가스가, MFC(241b), 밸브(243b), 노즐(249b)을 통해 처리실(201) 내에 공급된다.
보란계 가스란, 기체 상태의 보란 화합물, 예를 들어 상온 상압 하에서 액체 상태인 보란 화합물을 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 보란 화합물 등이다. 보란 화합물에는, B와 할로겐 원소를 포함하는 할로보란 화합물, 예를 들어 B 및 Cl을 포함하는 클로로보란 화합물이 포함된다. 또한, 보란 화합물에는, 모노보란(BH3)이나 디보란(B2H6)과 같은 보란(붕화수소)이나, 보란의 H를 다른 원소 등으로 치환한 형태의 보란 화합물(보란 유도체)이 포함된다. 보란계 가스는, 후술하는 기판 처리 공정에서 B 소스로서 작용한다. 보란계 가스로서는, 예를 들어 트리클로로보란(BCl3) 가스를 사용할 수 있다. BCl3 가스는, 후술하는 보라진 화합물을 포함하지 않는 붕소 함유 가스, 즉, 비보라진계의 붕소 함유 가스이다.
가스 공급관(232f)으로부터는, 보라진환 골격을 포함하는 가스로서, 예를 들어 보라진환 골격 및 유기 리간드를 포함하는 가스, 즉, 유기 보라진계 가스가, MFC(241f), 밸브(243f), 가스 공급관(232b), 노즐(249b)을 통해 처리실(201) 내에 공급된다.
유기 보라진계 가스로서는, 예를 들어 유기 보라진 화합물인 알킬보라진 화합물을 포함하는 가스를 사용할 수 있다. 유기 보라진계 가스를, 보라진 화합물 가스, 또는, 보라진계 가스라고 칭할 수도 있다.
여기서, 보라진이란, B, N 및 H의 3 원소로 구성되는 복소환식 화합물이며, 조성식은 B3H6N3으로 나타낼 수 있고, 도 9의 (a)에 나타내는 화학 구조식으로 나타낼 수 있다. 보라진 화합물은, 3개의 B와 3개의 N으로 구성되는 보라진환을 구성하는 보라진환 골격(보라진 골격이라고도 함)을 포함하는 화합물이다. 유기 보라진 화합물은, C를 포함하는 보라진 화합물이며, C를 포함하는 리간드, 즉, 유기 리간드를 포함하는 보라진 화합물이라고도 할 수 있다. 알킬보라진 화합물은, 알킬기를 포함하는 보라진 화합물이며, 알킬기를 유기 리간드로서 포함하는 보라진 화합물이라고도 할 수 있다. 알킬보라진 화합물은, 보라진에 포함되는 6개의 H 중 적어도 어느 하나를, 1개 이상의 C를 포함하는 탄화수소로 치환한 것이며, 도 9의 (b)에 나타내는 화학 구조식으로 나타낼 수 있다. 여기서, 도 9의 (b)에 나타내는 화학 구조식 중의 R1 내지 R6은, H이거나, 또는 1 내지 4개의 C를 포함하는 알킬기이다. R1 내지 R6은 동일한 종류의 알킬기이어도 되고, 상이한 종류의 알킬기이어도 된다. 단, R1 내지 R6은, 그 모두가 H인 경우를 제외한다. 알킬 보라진 화합물은, 보라진환을 구성하는 보라진환 골격을 갖고, B, N, H 및 C를 포함하는 물질이라고도 말할 수 있다. 또한, 알킬 보라진 화합물은, 보라진환 골격을 갖고 알킬 리간드를 포함하는 물질이라고도 말할 수 있다. 또한, R1 내지 R6은, H이거나, 또는 1 내지 4개의 C를 포함하는 알케닐기, 알키닐기이어도 된다. R1 내지 R6은 동일한 종류의 알케닐기, 알키닐기이어도 되고, 상이한 종류의 알케닐기, 알키닐기이어도 된다. 단, R1 내지 R6은, 그 모두가 H인 경우를 제외한다.
보라진계 가스는, 후술하는 기판 처리 공정에서, B 소스로서도 작용하고, N 소스로서도 작용하고, C 소스로서도 작용한다.
보라진계 가스로서는, 예를 들어 n,n',n"-트리메틸보라진(약칭: TMB) 가스, n,n',n"-트리에틸보라진(약칭: TEB) 가스, n,n',n"-트리-n-프로필보라진(약칭: TPB) 가스, n,n',n"-트리이소프로필보라진(약칭: TIPB) 가스, n,n',n"-트리-n-부틸보라진(약칭: TBB) 가스, n,n',n"-트리이소부틸보라진(약칭: TIBB) 가스 등을 사용할 수 있다. TMB는, 도 9의 (b)에 나타내는 화학 구조식 중의 R1, R3, R5가 H이며, R2, R4, R6이 메틸기이며, 도 9의 (c)에 나타내는 화학 구조식으로 나타낼 수 있는 보라진 화합물이다. TEB는, 도 9의 (b)에 나타내는 화학 구조식 중의 R1, R3, R5가 H이며, R2, R4, R6이 에틸기인 보라진 화합물이다. TPB는, 도 9의 (b)에 나타내는 화학 구조식 중의 R1, R3, R5가 H이며, R2, R4, R6이 프로필기이며, 도 9의 (d)에 나타내는 화학 구조식으로 나타낼 수 있는 보라진 화합물이다. TIPB는, 도 9의 (b)에 나타내는 화학 구조식 중의 R1, R3, R5가 H이며, R2, R4, R6이 이소프로필기인 보라진 화합물이다. TIBB는, 도 9의 (b)에 나타내는 화학 구조식 중의 R1, R3, R5가 H이며, R2, R4, R6이 이소부틸기인 보라진 화합물이다.
TMB 등과 같이 상온 상압 하에서 액체 상태인 보라진 화합물을 사용하는 경우에는, 액체 상태의 보라진 화합물을 기화기나 버블러 등의 기화 시스템에 의해 기화시켜, 보라진계 가스(TMB 가스 등)로서 공급하게 된다.
가스 공급관(232c)으로부터는, 질소 함유 가스로서, 예를 들어 질화수소계 가스가, MFC(241c), 밸브(243c), 노즐(249c), 버퍼실(237)을 통해 처리실(201) 내에 공급된다. 질화수소계 가스는, 후술하는 기판 처리 공정에서, 질화 가스, 즉, N 소스로서 작용한다. 질화수소계 가스로서는, 예를 들어 암모니아(NH3) 가스를 사용할 수 있다.
가스 공급관(232d)으로부터는, N 및 C를 포함하는 가스로서, 예를 들어 아민계 가스가, MFC(241d), 밸브(243d), 노즐(249d)을 통해 처리실(201) 내에 공급된다.
아민계 가스란, 기체 상태의 아민, 예를 들어 상온 상압 하에서 액체 상태인 아민을 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 아민 등의 아민기를 포함하는 가스이다. 아민계 가스는, 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민, 이소부틸아민 등의 아민을 포함한다. 아민이란, 암모니아(NH3)의 H를 알킬기 등의 탄화수소기로 치환한 형태의 화합물의 총칭이다. 아민은, C를 포함하는 리간드, 즉, 유기 리간드로서, 알킬기 등의 탄화수소기를 포함한다. 아민계 가스는, C, N 및 H의 3 원소를 포함하고 있고, Si를 포함하고 있지 않으므로 Si 비함유의 가스라고도 할 수 있고, Si 및 금속을 포함하고 있지 않으므로 Si 및 금속 비함유의 가스라고도 할 수 있다. 아민계 가스는, C, N 및 H의 3 원소만으로 구성되는 물질이라고도 할 수 있다. 아민계 가스는, 후술하는 기판 처리 공정에서, N 소스로서도 작용하고, C 소스로서도 작용한다. 본 명세서에서 「아민」이라는 용어를 사용한 경우에는, 「액체 상태인 아민」을 의미하는 경우, 「기체 상태인 아민계 가스」를 의미하는 경우, 또는, 그 양쪽을 의미하는 경우가 있다.
아민계 가스로서는, 예를 들어 그 화학 구조식 중(1 분자 중)에서의 C를 포함하는 리간드(에틸기)의 수가 3이며, 1 분자 중에서 N의 수보다 C의 수가 더 많은 트리에틸아민((C2H5)3N, 약칭: TEA) 가스를 사용할 수 있다. TEA와 같이 상온 상압 하에서 액체 상태인 아민을 사용하는 경우에는, 액체 상태의 아민을 기화기나 버블러 등의 기화 시스템에 의해 기화시켜, N 및 C를 포함하는 가스(TEA 가스)로서 공급 하게 된다.
가스 공급관(232e)으로부터는, 탄소 함유 가스로서, 예를 들어 탄화수소계 가스가, MFC(241e), 밸브(243e), 가스 공급관(232d), 노즐(249d)을 통해 처리실(201) 내에 공급된다. 탄화수소계 가스는, C 및 H의 2 원소만으로 구성되는 물질이라고도 할 수 있으며, 후술하는 기판 처리 공정에서 C 소스로서 작용한다. 탄화수소계 가스로서는, 예를 들어 프로필렌(C3H6) 가스를 사용할 수 있다.
가스 공급관(232g 내지 232j)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241g 내지 241j), 밸브(243g 내지 243j), 가스 공급관(232a 내지 232d), 노즐(249a 내지 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급된다.
각 가스 공급관으로부터 상술한 바와 같은 가스를 각각 흘리는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해, 소정 원소를 포함하는 원료 가스를 공급하는 원료 가스 공급계가 구성된다. 노즐(249a)을 원료 가스 공급계에 포함시켜 생각해도 된다. 원료 가스 공급계를 원료 공급계라고 칭할 수도 있다. 가스 공급관(232a)으로부터 할로실란 원료 가스를 흘리는 경우, 원료 가스 공급계를, 할로실란 원료 가스 공급계, 또는, 할로실란 원료 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해, 보라진환 골격 비함유의 붕소 함유 가스, 즉, 비보라진계의 붕소 함유 가스를 공급하는 붕소 함유 가스 공급계가 구성된다. 노즐(249b)을 붕소 함유 가스 공급계에 포함시켜 생각해도 된다. 가스 공급관(232b)으로부터 보란계 가스를 흘리는 경우, 붕소 함유 가스 공급계를, 보란계 가스 공급계, 또는, 보란 화합물 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해, 보라진환 골격을 포함하는 가스, 즉, 보라진계 가스를 공급하는 보라진계 가스 공급계가 구성된다. 가스 공급관(232b)의 가스 공급관(232f)과의 접속부보다 하류측, 노즐(249b)을 보라진계 가스 공급계에 포함시켜 생각해도 된다. 보라진계 가스 공급계를, 유기 보라진계 가스 공급계, 또는, 보라진 화합물 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해, 질소 함유 가스를 공급하는 질소 함유 가스 공급계가 구성된다. 노즐(249c), 버퍼실(237)을 질소 함유 가스 공급계에 포함시켜 생각해도 된다. 가스 공급관(232c)으로부터 질화수소계 가스를 흘리는 경우, 질소 함유 가스 공급계를, 질화수소계 가스 공급계, 또는, 질화수소 공급계라고 칭할 수도 있고, 또한, 질화 가스 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해, N 및 C를 포함하는 가스를 공급하는 질소 및 탄소를 포함하는 가스 공급계가 구성된다. 노즐(249d)을 질소 및 탄소를 포함하는 가스 공급계에 포함시켜 생각해도 된다. 가스 공급관(232d)으로부터 아민계 가스를 공급하는 경우, 질소 및 탄소를 포함하는 가스 공급계를, 아민계 가스 공급계, 또는, 아민 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해, 탄소 함유 가스를 공급하는 탄소 함유 가스 공급계가 구성된다. 가스 공급관(232d)의 가스 공급관(232e)과의 접속부보다 하류측, 노즐(249d)을 탄소 함유 가스 공급계에 포함시켜 생각해도 된다. 가스 공급관(232e)으로부터 탄화수소계 가스를 공급하는 경우, 탄소 함유 가스 공급계를, 탄화수소계 가스 공급계, 또는, 탄화수소 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232g 내지 232j), MFC(241g 내지 241j), 밸브(243g 내지 243j)에 의해, 불활성 가스 공급계가 구성된다. 불활성 가스 공급계를, 퍼지 가스 공급계, 또는, 캐리어 가스 공급계라고 칭할 수도 있다.
버퍼실(237) 내에는, 도 2에 도시한 바와 같이, 도전체로 이루어지고, 가늘고 긴 구조를 갖는 2개의 막대 형상 전극(269, 270)이, 반응관(203)의 하부에서부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배치되어 있다. 막대 형상 전극(269, 270) 각각은, 노즐(249c)과 평행하게 설치되어 있다. 막대 형상 전극(269, 270) 각각은, 상부에서부터 하부에 걸쳐 전극 보호관(275)에 의해 덮임으로써 보호되어 있다. 막대 형상 전극(269, 270) 중 어느 한쪽은, 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 다른 쪽은, 기준 전위인 접지에 접속되어 있다. 정합기(272)를 개재하여 고주파 전원(273)으로부터 막대 형상 전극(269, 270) 사이에 고주파(RF) 전력을 인가함으로써, 막대 형상 전극(269, 270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로, 막대 형상 전극(269, 270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜 생각해도 된다. 플라즈마원은, 후술하는 바와 같이 가스를 플라즈마 상태로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은, 막대 형상 전극(269, 270) 각각을 버퍼실(237) 내의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 되어 있다. 전극 보호관(275)의 내부의 산소 농도가 외기(대기)의 산소 농도와 동일 정도이면, 전극 보호관(275) 내에 각각 삽입된 막대 형상 전극(269, 270)은, 히터(207)에 의한 열로 산화되어버린다. 전극 보호관(275)의 내부에 N2 가스 등의 불활성 가스를 충전해 두거나, 불활성 가스 퍼지 기구를 사용하여 전극 보호관(275)의 내부를 N2 가스 등의 불활성 가스로 퍼지함으로써, 전극 보호관(275)의 내부 산소 농도를 저감시켜, 막대 형상 전극(269, 270)의 산화를 방지할 수 있다.
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 개재하여, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한, 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함시켜 생각해도 된다.
반응관(203)의 하방에는, 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은, 반응관(203)의 하단에 수직 방향 하측으로부터 접촉되도록 구성되어 있다. 시일 캡(219)은 예를 들어 SUS 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 반응관(203)의 하단과 접촉하는 시일 부재로서의 O링(220)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는, 보트(217), 즉 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성되어 있다.
기판 지지구로서의 보트(217)는, 복수, 예를 들어 25 내지 200장의 웨이퍼(200)를, 수평 자세로, 또한, 서로 중심을 정렬시킨 상태에서 수직 방향으로 정렬시켜서 다단으로 지지하도록, 즉, 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어진다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어지는 단열판(218)이 수평 자세로 다단으로 지지되어 있다. 이 구성에 의해, 히터(207)로부터의 열이 시일 캡(219)측에 전해지기 어렵게 되어 있다. 단, 본 실시 형태는 상술한 형태에 한정되지 않는다. 예를 들어, 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 SiC 등의 내열성 재료로 이루어지는 통 형상의 부재로서 구성된 단열 통을 설치해도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에 대한 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성되어 있다. 온도 센서(263)는, 노즐(249a 내지 249d)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭해서, 간단히, 프로그램이라고도 한다. 본 명세서에서 프로그램이라는 용어를 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241j), 밸브(243a 내지 243j), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 고주파 전원(273), 정합기(272), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a 내지 241j)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243j)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 고주파 전원(273)의 전력 공급, 정합기(272)에 의한 임피던스 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
컨트롤러(121)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이 외부 기억 장치(123)를 사용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태의 컨트롤러(121)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭해서, 간단히, 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 용어를 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
상술한 기판 처리 장치를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 위에 박막을 형성하는 시퀀스 예에 대하여, 도 4의 (a)를 사용해서 설명한다. 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 4의 (a)에 나타내는 성막 시퀀스에서는, 적어도 Si, B 및 N을 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 공정과, 적어도 Si 및 보라진환 골격을 포함하는 제2 막을 형성하는 공정을 포함하는 사이클을 소정 횟수(n회) 행함으로써, 기판으로서의 웨이퍼(200) 위에 제1 막과 제2 막이 적층되어 이루어지는 적층막을 형성한다.
제1 막을 형성하는 공정에서는, 웨이퍼(200)에 대하여 Si를 포함하는 원료 가스로서 HCDS 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 보라진환 골격 비함유의 붕소 함유 가스로서 BCl3 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 질소 함유 가스로서 NH3 가스를 공급하는 공정을 포함하는 제1 세트를 소정 횟수(m1회) 행함으로써, 제1 막으로서, 보라진환 골격 비함유의 실리콘 붕질화막(SiBN막)을 형성한다.
또한, 제2 막을 형성하는 공정에서는, 웨이퍼(200)에 대하여 Si를 포함하는 원료 가스로서 HCDS 가스를 공급하는 공정과, 웨이퍼(200)에 대하여 보라진환 골격을 포함하는 가스로서 TMB 가스를 공급하는 공정을 포함하는 제2 세트를, TMB 가스에서의 보라진환 골격이 유지되는 조건 하에서 소정 횟수(m2회) 행함으로써, 제2 막으로서, 보라진환 골격을 포함하는 실리콘 붕탄질화막(SiBCN막)을 형성한다.
여기서, 제1 세트, 제2 세트, 사이클을 소정 횟수 행한다는 것은, 각각, 이러한 세트나 사이클을, 1회 또는 복수 회 행하는 것을 의미한다. 즉, 이러한 세트나 사이클을, 각각 1회 이상 행하는 것을 의미한다. 도 4의 (a)는 제1 세트 및 제2 세트를 각각 2회씩 행하여, 상술한 사이클을 n회 반복하는 예를 나타내고 있다.
본 명세서에서 「웨이퍼」라는 용어를 사용한 경우에는, 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)」를 의미하는 경우, 즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 웨이퍼라 칭하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼의 표면」이라는 용어를 사용한 경우에는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 위에 형성된 소정의 층이나 막 등의 표면, 즉, 적층체로서의 웨이퍼의 최표면」을 의미하는 경우가 있다.
따라서, 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 위에 형성되어 있는 층이나 막 등에 대하여, 즉, 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼 위에 소정의 층(또는 막)을 형성한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면) 위에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 위에 형성되어 있는 층이나 막 등의 위, 즉, 적층체로서의 웨이퍼의 최표면 위에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
본 명세서에서 「기판」이라는 용어를 사용한 경우도, 「웨이퍼」라는 용어를 사용한 경우와 마찬가지이며, 그 경우, 상기 설명에서, 「웨이퍼」를 「기판」으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)된다. 그 후, 도 1에 도시한 바와 같이, 복수의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220)을 개재하여 반응관(203)의 하단을 시일한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내의 압력, 즉, 웨이퍼(200)가 존재하는 공간의 압력이 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다. 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 항상 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포가 되도록, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에 대한 통전 상태가 피드백 제어된다. 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 계속해서 행하여진다. 또한, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도, 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 계속해서 행하여진다.
(제1 막 형성 공정)
그 후, 다음 3개의 스텝, 즉, 스텝 1 내지 3을 순차 실행한다.
[스텝 1]
(HCDS 가스 공급)
밸브(243a)를 개방하고, 가스 공급관(232a) 내에 HCDS 가스를 흘린다. HCDS 가스는, MFC(241a)에 의해 유량 조정되어, 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 HCDS 가스가 공급되게 된다. 이때 동시에 밸브(243g)를 개방하고, 가스 공급관(232g) 내에 N2 가스를 흘린다. N2 가스는, MFC(241g)에 의해 유량 조정되어, HCDS 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한, 노즐(249b 내지 249d), 버퍼실(237) 내로의 HCDS 가스의 침입을 방지하기 위해서, 밸브(243h 내지 243j)를 개방하여, 가스 공급관(232h 내지 232j) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(232b 내지 232d), 노즐(249b 내지 249d), 버퍼실(237)을 통해 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 2666Pa, 바람직하게는 67 내지 1333Pa의 범위 내의 압력으로 한다. MFC(241a)에 의해 제어되는 HCDS 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241g 내지 241j)에 의해 제어되는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. HCDS 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도가 되는 온도로 설정한다.
웨이퍼(200)의 온도가 250℃ 미만이 되면, 웨이퍼(200) 위에 HCDS가 화학 흡착되기 어려워져, 실용적인 성막 속도가 얻어지지 않게 되는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 함으로써 이것을 해소하는 것이 가능하게 된다. 웨이퍼(200)의 온도를 300℃ 이상, 나아가 350℃ 이상으로 함으로써 웨이퍼(200) 위에 HCDS를 보다 충분히 흡착시키는 것이 가능하게 되어, 보다 충분한 성막 속도가 얻어지게 된다.
웨이퍼(200)의 온도가 700℃를 초과하면, CVD 반응이 강해짐(기상 반응이 지배적으로 됨)으로써, 막 두께 균일성이 악화되기 쉬워져, 그 제어가 곤란해져버린다. 웨이퍼(200)의 온도를 700℃ 이하로 함으로써, 막 두께 균일성의 악화를 억제할 수 있어, 그 제어가 가능하게 된다. 특히 웨이퍼(200)의 온도를 650℃ 이하, 나아가 600℃ 이하로 함으로써, 표면 반응이 지배적으로 되어, 막 두께 균일성을 확보하기 쉬워져, 그 제어가 용이하게 된다.
따라서, 웨이퍼(200)의 온도는 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 하는 것이 좋다.
상술한 조건 하에서 웨이퍼(200)에 대하여 HCDS 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 위에, 제1층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층이어도 되고, HCDS 가스의 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
Cl을 포함하는 Si층이란, Si에 의해 구성되고 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생기는 Cl을 포함하는 Si 박막도 포함하는 총칭이다. Si에 의해 구성되고 Cl을 포함하는 연속적인 층을, Cl을 포함하는 Si 박막이라고 하는 경우도 있다. Cl을 포함하는 Si층을 구성하는 Si는, Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
HCDS 가스의 흡착층은, HCDS 가스의 가스 분자의 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 흡착층을 포함한다. HCDS 가스의 흡착층을 구성하는 HCDS 분자는, Si와 Cl의 결합이 일부 끊어진 것도 포함한다. 즉, HCDS 가스의 흡착층은, HCDS 가스의 물리 흡착층이어도 되고, HCDS 가스의 화학 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, 1 원자층 미만의 두께의 층이란 불연속으로 형성되는 원자층을 의미하고 있고, 1 원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미하고 있다. 1 분자층 미만의 두께의 층이란 불연속으로 형성되는 분자층을 의미하고 있고, 1 분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미하고 있다. Cl을 포함하는 Si 함유층은, Cl을 포함하는 Si층과 HCDS 가스의 흡착층의 양쪽을 포함할 수 있다. 단, 상술한 바와 같이, Cl을 포함하는 Si 함유층에 대해서는 「1 원자층」, 「수 원자층」 등의 표현을 사용하는 것으로 한다.
HCDS 가스가 자기 분해(열분해)하는 조건 하, 즉, HCDS 가스의 열분해 반응이 발생하는 조건 하에서는, 웨이퍼(200) 위에 Si가 퇴적됨으로써 Cl을 포함하는 Si층이 형성된다. HCDS 가스가 자기 분해(열분해)하지 않는 조건 하, 즉, HCDS 가스의 열분해 반응이 발생하지 않는 조건 하에서는, 웨이퍼(200) 위에 HCDS 가스가 흡착됨으로써 HCDS 가스의 흡착층이 형성된다. 웨이퍼(200) 위에 HCDS 가스의 흡착층을 형성하는 것보다, 웨이퍼(200) 위에 Cl을 포함하는 Si층을 형성하는 것이, 성막 레이트를 높게 할 수 있는 점에서는 더 바람직하다.
웨이퍼(200) 위에 형성되는 제1층의 두께가 수 원자층을 초과하면, 후술하는 스텝 2에서의 개질의 작용이 제1층의 전체에 도달하지 않게 된다. 또한, 웨이퍼(200) 위에 형성 가능한 제1층의 두께의 최소값은 1 원자층 미만이다. 따라서, 제1층의 두께는 1 원자층 미만 내지 수 원자층 정도로 하는 것이 바람직하다. 제1층의 두께를 1 원자층 이하, 즉, 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 2에서의 개질 반응의 작용을 상대적으로 높일 수 있고, 스텝 2에서의 개질 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 1에서의 제1층의 형성에 필요로 하는 시간을 단축할 수도 있다. 결과로서, 1 사이클당의 처리 시간을 단축할 수 있고, 총 처리 시간을 단축하는 것도 가능하게 된다. 즉, 성막 레이트를 높게 하는 것도 가능하게 된다. 또한, 제1층의 두께를 1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능하게 된다.
(잔류 가스 제거)
제1층이 형성된 후, 밸브(243a)를 폐쇄하고, HCDS 가스의 공급을 정지한다. 이때, APC 밸브(244)는 개방된 상태에서, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제한다. 이때, 밸브(243g 내지 243j)는 개방된 상태에서, N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행하여지는 스텝 2에서 악영향이 발생하지 않는다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양의 N2 가스를 공급함으로써, 스텝 2에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하고, 스루풋을 향상시킬 수 있다. N2 가스의 소비를 필요 최소한으로 억제하는 것도 가능하게 된다.
원료 가스로서는, HCDS 가스 외에, 예를 들어 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스 등을 사용할 수 있다. 불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
[스텝 2]
(BCl3 가스 공급)
스텝 1이 종료된 후, 처리실(201) 내의 웨이퍼(200)에 대하여 BCl3 가스를 공급한다.
이 스텝에서는, 밸브(243b, 243g 내지 243j)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243g 내지 243j)의 개폐 제어와 마찬가지의 수순으로 행한다. MFC(241b)에 의해 제어되는 BCl3 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 2666Pa, 바람직하게는 67 내지 1333Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 BCl3 가스의 분압은, 예를 들어 0.01 내지 2640Pa의 범위 내의 압력으로 한다. BCl3 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 수순, 처리 조건은, 예를 들어 스텝 1의 처리 수순, 처리 조건과 마찬가지로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 BCl3 가스를 공급함으로써, 제1층의 위에, 1 원자층 미만의 B 함유층, 즉, 불연속인 B 함유층이 형성된다. B 함유층은, B층이어도 되고, BCl3 가스의 화학 흡착층이어도 되고, 그 양쪽을 포함하고 있어도 된다. 또한, 조건에 따라서는, B 함유층은, 제1층과 BCl3 가스가 반응하여, 제1층의 적어도 일부가 붕화(개질)됨으로써 형성된 SiB층을 포함하고 있어도 된다. 제1층 위에 B 함유층이 형성되거나, 제1층이 개질됨으로써, 웨이퍼(200) 위에 Si 및 B를 포함하는 제2층이 형성되게 된다. BCl3 가스는 비보라진계의 붕소 함유 가스이기 때문에, 제2층은, 보라진환 골격 비함유의 층이 된다. BCl3 가스는, 논플라즈마로 열적으로 활성화시켜서 공급하는 것이, 상술한 반응을 소프트하게 진행시킬 수 있어, 제2층의 형성이 용이하게 된다.
(잔류 가스 제거)
제2층이 형성된 후, 밸브(243b)를 폐쇄하고, BCl3 가스의 공급을 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제2층의 형성에 기여한 후의 BCl3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
보라진환 골격 비함유의 붕소 함유 가스로서는, BCl3 가스 이외의 할로겐화 붕소계 가스(할로보란계 가스), 예를 들어 BCl3 가스 이외의 클로로보란계 가스나, 트리플루오로보란(BF3) 가스 등의 플루오로보란계 가스나, 트리브로모보란(BBr3) 가스 등의 브로모보란계 가스를 사용할 수 있다. 또한, B2H6 가스 등의 보란계 가스를 사용할 수도 있다. 또한, 무기 보란계 가스 외에, 유기 보란계 가스를 사용할 수도 있다. 불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
[스텝 3]
(NH3 가스 공급)
스텝 2가 종료된 후, 처리실(201) 내의 웨이퍼(200)에 대하여 열로 활성화시킨 NH3 가스 또는, 플라즈마로 활성화시킨 NH3 가스를 공급한다.
열로 활성화시킨 NH3 가스를 공급하는 스텝에서는, 밸브(243c, 243g 내지 243j)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243g 내지 243j)의 개폐 제어와 마찬가지의 수순으로 행한다. MFC(241c)에 의해 제어되는 NH3 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 4000Pa, 바람직하게는 1 내지 3000Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 NH3 가스의 분압은, 예를 들어 0.01 내지 3960Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이러한 비교적 높은 압력대로 함으로써, NH3 가스를 논플라즈마로 열적으로 활성화시키는 것이 가능하게 된다. NH3 가스는 열로 활성화시켜서 공급하는 것이, 비교적 소프트한 반응을 발생시킬 수 있고, 후술하는 질화를 비교적 소프트하게 행할 수 있다. 열로 활성화시킨 NH3 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 예를 들어 상술한 스텝 1과 마찬가지의 처리 조건으로 한다.
플라즈마로 활성화시킨 NH3 가스를 공급하는 스텝에서는, 밸브(243c, 243g 내지 243j)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243g 내지 243j)의 개폐 제어와 마찬가지의 수순으로 행한다. MFC(241c)에 의해 제어되는 NH3 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 막대 형상 전극(269, 270) 사이에 인가하는 고주파 전력은, 예를 들어 50 내지 1000W의 범위 내의 전력으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 100Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 NH3 가스의 분압은, 예를 들어 0.01 내지 100Pa의 범위 내의 압력으로 한다. 플라즈마를 사용함으로써 처리실(201) 내의 압력을 이러한 비교적 낮은 압력대로 해도, NH3 가스를 활성화시키는 것이 가능하게 된다. NH3 가스를 플라즈마 여기함으로써 얻어진 활성종을 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 상술한 스텝 1과 마찬가지의 처리 조건으로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 NH3 가스를 공급함으로써, 웨이퍼(200) 위에 형성된 제2층의 적어도 일부가 질화(개질)된다. 제2층이 개질됨으로써, 웨이퍼(200) 위에 Si, B 및 N을 포함하는 제3층, 즉, SiBN층이 형성되게 된다. 제3층은, 보라진환 골격 비함유의 층이 된다. 제3층을 형성할 때, 제2층에 포함되어 있던 Cl 등의 불순물은, NH3 가스에 의한 제2층의 개질 반응의 과정에서, 적어도 Cl을 포함하는 가스 상태 물질을 구성하여, 처리실(201) 내로부터 배출된다. 즉, 제2층 중의 Cl 등의 불순물은, 제2층 내로부터 뽑아 내지거나, 탈리됨으로써, 제2층으로부터 분리된다. 이에 의해, 제3층은, 제2층에 비해 Cl 등의 불순물이 적은 층이 된다.
(잔류 가스 제거)
제3층이 형성된 후, 밸브(243c)를 폐쇄하고, NH3 가스의 공급을 정지한다. 또한, NH3 가스를 플라즈마로 활성화시켜서 공급하고 있었던 경우, 막대 형상 전극(269, 270) 사이로의 고주파 전력의 인가를 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제3층의 형성에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
질소 함유 가스로서는, NH3 가스 외에, 예를 들어 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스 등의 질화수소계 가스나, 이들 화합물을 포함하는 가스 등을 사용할 수 있다. 불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
(제1 세트의 소정 횟수 실시)
상술한 스텝 1 내지 3을 1세트(제1 세트)로 해서, 이 세트를 소정 횟수(m1회) 행함으로써, 웨이퍼(200) 위에 제1 막으로서, 소정 조성 및 소정 막 두께의 SiBN막을 형성할 수 있다. 제1 막은, 보라진환 골격 비함유의 막, 즉, 비다공성 형상의 막이 된다. 이때, 제1 막의 막 두께가, 예를 들어 0.1nm 이상 5nm 이하, 바람직하게는 0.1nm 이상 1nm 이하의 막 두께가 되도록, 제1 세트의 실시 횟수를 제어한다. 제1 세트는, 예를 들어 1회 이상 50회 이하, 바람직하게는 1회 이상 10회 이하의 범위 내에서, 복수 회 반복하는 것이 바람직하다. 즉, 제1 세트를 1회 행할 때에 형성되는 SiBN층의 두께를 원하는 막 두께보다 작게 하고, 제1 막의 막 두께가 원하는 막 두께로 될 때까지, 제1 세트를 복수 회 반복하는 것이 바람직하다.
이때, 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, 제1 막 중에서의 각 원소 성분, 즉, Si 성분, B 성분, N 성분의 비율, 즉, Si 농도, B 농도, N 농도를 조정할 수 있어, SiBN막의 조성비를 제어할 수 있다.
제1 세트를 복수 회 행하는 경우, 적어도 2회째 이후의 각 스텝에서, 「웨이퍼(200)에 대하여 소정의 가스를 공급한다」라고 기재한 부분은, 「웨이퍼(200) 위에 형성되어 있는 층에 대하여, 즉, 적층체로서의 웨이퍼(200)의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 위에 소정의 층을 형성한다」라고 기재한 부분은, 「웨이퍼(200) 위에 형성되어 있는 층 위, 즉, 적층체로서의 웨이퍼(200)의 최표면 위에 소정의 층을 형성한다」는 것을 의미하고 있다. 이 점은, 상술한 바와 같다. 이 점은, 후술하는 제2 세트나 사이클을 복수 회 행하는 경우에도 마찬가지이며, 또한, 각 변형예나 다른 실시 형태 등에서도 마찬가지이다.
(제2 막 형성 공정)
그 후, 다음 2개의 스텝, 즉, 스텝 4, 5를 순차 실행한다.
[스텝 4]
(HCDS 가스 공급)
상술한 스텝 1과 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내의 웨이퍼(200)에 대하여 HCDS 가스를 공급한다. 이에 의해, 웨이퍼(200) 위에 형성된 제1 막, 즉, 보라진환 골격 비함유의 SiBN막 위에, 제4층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다.
(잔류 가스 제거)
제4층이 형성된 후, 스텝 1과 마찬가지의 처리 수순에 의해, HCDS 가스의 공급을 정지하고, 또한, 처리실(201) 내에 잔류하는 미반응 또는 제4층의 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
[스텝 5]
(TMB 가스 공급)
스텝 4가 종료된 후, 처리실(201) 내의 웨이퍼(200)에 대하여 TMB 가스를 공급한다.
이 스텝에서는, 밸브(243f, 243g 내지 243j)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243g 내지 243j)의 개폐 제어와 마찬가지의 수순으로 행한다. MFC(241f)에 의해 제어되는 TMB 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 2666Pa, 바람직하게는 67 내지 1333Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 TMB 가스의 분압은, 예를 들어 0.0001 내지 2424Pa의 범위 내의 압력으로 한다. TMB 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 수순, 처리 조건은, 예를 들어 스텝 1의 처리 수순, 처리 조건과 마찬가지로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 TMB 가스를 공급함으로써, 스텝 4에서 형성된 제4층과 TMB 가스가 반응한다. 즉, 제4층에 포함되는 Cl(클로로기)과 TMB에 포함되는 리간드(메틸기)가 반응한다. 그에 의해, TMB의 리간드와 반응시킨 제4층의 Cl을, 제4층으로부터 분리시킴(뽑아냄)과 함께, 제4층의 Cl과 반응시킨 TMB의 리간드를, TMB로부터 분리시킬 수 있다. 그리고, 리간드가 분리된 TMB의 보라진환을 구성하는 N과, 제4층의 Si를 결합시킬 수 있다. 즉, TMB의 보라진환을 구성하는 B, N 중 메틸 리간드가 떨어져나가 미 결합손(댕글링 본드)을 갖게 된 N과, 제4층에 포함되어 미 결합손을 갖게 된 Si, 또는, 미 결합손을 갖고 있던 Si를 결합시켜서, Si-N 결합을 형성하는 것이 가능하게 된다. 이때, TMB의 보라진환을 구성하는 보라진환 골격은, 파괴되지 않고 유지되게 된다.
TMB 가스를 상술한 조건 하에서 공급함으로써, TMB에서의 보라진환 골격을 파괴하지 않고 유지하면서, 제4층과 TMB를 적정하게 반응시킬 수 있어, 상술한 일련의 반응을 발생시키는 것이 가능하게 된다. TMB의 보라진환 골격을 유지한 상태에서, 이 일련의 반응을 발생시키기 위한 가장 중요한 팩터(조건)는, 웨이퍼(200)의 온도와 처리실(201) 내의 압력, 특히 웨이퍼(200)의 온도라 생각되며, 이들을 적정하게 제어함으로써, 적정한 반응을 발생시키는 것이 가능하게 된다.
이 일련의 반응에 의해, 제4층 중에 보라진환이 새롭게 도입되어, 제4층은, 보라진환 골격을 갖고, Si, B, C 및 N을 포함하는 제5층, 즉, 보라진환 골격을 포함하는 실리콘 붕탄질화층(SiBCN층)으로 변화한다(개질된다). 제5층은, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 층이 된다. 보라진환 골격을 포함하는 SiBCN층은, Si, C 및 보라진환 골격을 포함하는 층이라고도 할 수 있다.
제4층 중에 보라진환이 새롭게 도입됨으로써, 제4층 중에, 보라진환을 구성하는 B 성분, N 성분이 새롭게 도입되게 된다. 또한 이때, 제4층 중에, TMB의 리간드에 포함되어 있던 C 성분도 도입되게 된다. 이와 같이, 제4층과 TMB를 반응시켜서 제4층 중에 보라진환을 도입함으로써, 제4층 중에, B 성분, C 성분 및 N 성분을 새롭게 첨가할 수 있다.
제5층을 형성할 때, 제4층에 포함되어 있던 Cl이나, TMB 가스에 포함되어 있던 H는, TMB 가스에 의한 제4층의 개질 반응의 과정에서, 적어도 Cl, H를 포함하는 가스 상태 물질을 구성하여, 처리실(201) 내로부터 배출된다. 즉, 제4층 중의 Cl 등의 불순물은, 제4층 내에서 뽑아 내지거나, 탈리됨으로써, 제4층으로부터 분리되게 된다. 이에 의해, 제5층은, 제4층에 비해 Cl 등의 불순물이 적은 층이 된다.
제5층을 형성할 때, TMB에 포함되는 보라진환을 구성하는 보라진환 골격을 파괴하지 않고 유지함으로써, 보라진환의 중앙 공간을 유지할 수 있어, 다공성 형상의 SiBCN층을 형성하는 것이 가능하게 된다.
(잔류 가스 제거)
제5층이 형성된 후, 밸브(243f)를 폐쇄하고, TMB 가스의 공급을 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제5층의 형성에 기여한 후의 TMB 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
보라진환 골격을 포함하는 가스로서는, TMB 가스 외에, 예를 들어 TEB 가스, TPB 가스, TIPB 가스, TBB 가스, TIBB 가스 등을 사용할 수 있다. 불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
(제2 세트의 소정 횟수 실시)
상술한 스텝 4, 5를 1세트(제2 세트)로 해서, 이 세트를 소정 횟수(m2회) 행함으로써, 즉, 스텝 4, 5를 교대로 1회 이상 행함으로써, 보라진환 골격 비함유의 SiBN막(제1 막) 위에 제2 막으로서, 소정 조성 및 소정 막 두께의 보라진환 골격을 포함하는 SiBCN막을 형성할 수 있다. 제2 막은, 보라진환 골격을 함유하므로 다공성 형상의 막이 된다. 제2 막은, Si, C 및 보라진환 골격을 포함하는 박막이라고도 할 수 있다. 이때, 제2 막의 막 두께가, 예를 들어 0.1nm 이상 5nm 이하, 바람직하게는 0.1nm 이상 1nm 이하의 막 두께로 되도록, 제2 세트의 실시 횟수를 제어한다. 제2 세트는, 예를 들어 1회 이상 50회 이하, 바람직하게는 1회 이상 10회 이하의 범위 내에서, 복수 회 반복하는 것이 바람직한 점은, 제1 막 형성 공정과 마찬가지이다.
이때, 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어함으로써, 스텝 5에서 형성하는 SiBCN층에서의 각 원소 성분, 즉, Si 성분, B 성분, C 성분, N 성분의 비율, 즉, Si 농도, B 농도, C 농도, N 농도를 조정할 수 있어, 제2 막의 조성비를 제어할 수 있다.
(사이클의 소정 횟수 실시)
상술한 제1 막 형성 공정과 제2 막 형성 공정을 1 사이클로 해서, 이 사이클을 소정 횟수(n회) 행함으로써, 즉, 제1 막 형성 공정과 제2 막 형성 공정을 교대로 1회 이상 행함으로써, 웨이퍼(200) 위에 보라진환 골격 비함유의 SiBN막(제1 막)과, 보라진환 골격을 포함하는 SiBCN막(제2 막)이 나노 레벨로 교대로 적층되어 이루어지는 적층막(이하, 나노 라미네이트막이라고도 함)을 형성할 수 있다. 이 적층막은, 막 전체로서는 보라진환 골격을 포함하고, Si, B, C 및 N을 포함하는 막, 즉, 보라진환 골격을 포함하는 SiBCN막이 된다.
(퍼지 및 대기압 복귀)
밸브(243g 내지 243j)를 개방하고, 가스 공급관(232g 내지 232j) 각각으로부터 N2 가스를 처리실(201) 내에 공급하여, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용한다. 이에 의해, 처리실(201) 내가 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되어(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되고, 반응관(203)의 하단이 개구된다. 그리고, 처리가 끝난 웨이퍼(200)가 보트(217)에 지지된 상태에서, 반응관(203)의 하단으로부터 반응관(203)의 외부로 반출된다(보트 언로드). 처리가 끝난 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 의한 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다.
(a) 제1 막과 제2 막을 교대로 적층함으로써, 적층막, 즉, 최종적으로 형성되는 SiBCN막의 조성비 제어의 제어성을 향상시키는 것이 가능하게 된다.
왜냐하면, HCDS 가스, TMB 가스를 사용하여 형성하는 제2 막에 포함되는 B 성분과 N 성분의 비율(이하, B/N비라고도 함)은, TMB 가스의 1분자 중에 포함되는 B의 수와 N의 수의 비율(TMB 가스에서는 1/1), 즉, 보라진환 골격을 포함하는 가스의 종류에 따라 결정되며, 이 값으로부터 크게 벗어난 값으로 하도록 제어하는 것은 곤란하다. 이에 반해, HCDS 가스, BCl3 가스, NH3 가스를 사용하여 형성하는 제1 막의 B/N비는, BCl3 가스와 NH3 가스의 유량비를 조정하는 것 등에 의해, 자유롭게 제어하는 것이 가능하다. 이 때문에, 제1 막과 제2 막을 교대로 적층할 때, 제1 막과 제2 막에서 B/N비를 상이하게 함으로써, 최종적으로 형성되는 SiBCN막의 B/N비를, 제1 막의 B/N비와 제2 막의 B/N비의 사이의 임의의 값으로 하도록 제어하는 것이 가능하게 된다.
또한, C를 포함하지 않는 제1 막(SiBN막)과 C를 포함하는 제2 막(SiBCN막)을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막 내의 C 농도를, 제2 막 내의 C 농도 미만의 임의의 농도로 하도록 제어하는 것이 가능하게 된다. 또한, 제1 막의 막 두께와 제2 막의 막 두께의 비율을 제어함으로써, 최종적으로 형성되는 SiBCN막 내의 Si 농도, B 농도, C 농도, N 농도를 각각 미세 조정하는 것이 가능하게 된다.
이와 같이, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 조성비를, HCDS 가스, BCl3 가스, NH3 가스를 사용하여 단막을 형성하는 경우나, HCDS 가스, TMB 가스를 사용하여 단막을 형성하는 경우 등에는 실현 불가능한 값으로 하도록 제어하는 것이 가능하게 된다. 즉, 조성비 제어의 윈도우를 확장하는 것이 가능하게 된다.
(b) 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 막 밀도, 즉, 막 내의 원자 밀도의 제어성을 향상시킬 수 있다. 결과로서, 최종적으로 형성되는 SiBCN막의 유전율 제어의 제어성을 향상시키는 것이 가능하게 된다. 왜냐하면, 보라진환 골격을 포함하는 제2 막(다공성 형상의 막)은 보라진환 골격 비함유의 제1 막(비다공성 형상의 막)보다, 막 내의 원자 밀도가 낮고, 유전율이 낮은 막이 된다. 이 때문에, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 유전율을, 예를 들어 HCDS 가스, BCl3 가스, NH3 가스 등을 사용하여 형성한 보라진환 골격 비함유의 SiBN막(단막)의 유전율과, HCDS 가스, TMB 가스를 사용하여 형성한 보라진환 골격을 포함하는 SiBCN막(단막)의 유전율의 사이의, 임의의 값으로 하도록 제어하는 것이 가능하게 된다. 즉, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 유전율을, HCDS 가스, BCl3 가스, NH3 가스 등을 사용하여 단막을 형성하는 경우나, HCDS 가스, TMB 가스를 사용하여 단막을 형성하는 경우 등에는 실현 불가능한 값으로 할 수 있어, 유전율 제어의 윈도우를 확장하는 것이 가능하게 된다. 또한, 제1 막의 막 두께와 제2 막의 막 두께의 비율을 제어함으로써, 최종적으로 형성되는 SiBCN막의 유전율을 미세 조정하는 것이 가능하게 된다.
(c) 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 표면 조도를 향상시키는 것이 가능하게 된다. 「표면 조도」란, 웨이퍼 면 내 또는 임의의 대상 면 내의 고저차를 의미하고 있고, 표면 거칠기와 마찬가지의 의미를 갖고 있다. 표면 조도가 향상된다(양호)는 것은, 이 고저차가 작아지는(작은) 것, 즉, 표면이 평활하게 되는(평활한) 것을 의미하고 있다. 표면 조도가 악화된다(나쁘다)는 것은, 이 고저차가 커지는(큰) 것, 즉, 표면이 거칠어지는(거친) 것을 의미하고 있다. 보라진환 골격 비함유의 제1 막은, 보라진환 골격을 포함하는 제2 막보다 표면 조도가 양호해지는 경향이 있다. 이 때문에, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 표면 조도를 향상시키는 것이 가능하게 된다. 즉, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 표면 조도를, HCDS 가스, TMB 가스를 사용하여 보라진환 골격을 포함하는 SiBCN막(단막)을 형성하는 경우보다, 향상시키는 것이 가능하게 된다.
이때, 보라진환 골격 비함유의 제1 막의 형성을, 보라진환 골격을 포함하는 제2 막의 형성보다 먼저 행함으로써, 최종적으로 형성되는 SiBCN막의 표면 조도를 더욱 향상시키는 것이 가능하게 된다. 즉, 제2 막을 형성하기 전에, 그 형성의 하지로서 표면 조도가 양호한 제1 막을 형성하고, 이 제1 막의 위에 제2 막을 형성함으로써, 제2 막이 하지의 영향을 받게 되어, 제2 막의 표면 조도를 향상시킬 수 있다. 결과로서, 최종적으로 형성되는 SiBCN막의 표면 조도를 더욱 향상시키는 것이 가능하게 된다.
또한, 이때, 마지막으로 형성하는 막을 보라진환 골격 비함유의 제1 막으로 함으로써, 최종적으로 형성되는 SiBCN막의 표면 조도를 더욱 향상시키는 것도 가능하게 된다. 즉, 최종적으로 생성되는 SiBCN막, 즉, 적층막의 최상부를, 표면 조도가 양호한 제1 막에 의해 구성함으로써, 최종적으로 형성되는 SiBCN막의 표면 조도를 더욱 향상시키는 것이 가능하게 된다.
(d) 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 산화 내성 제어의 제어성을 향상시키는 것이 가능하게 된다. 왜냐하면, 보라진환 골격을 포함하는 제2 막은, B를, 막을 구성하는 보라진환 골격의 1 구성 요소로서 포함하게 된다. 상술한 바와 같이, 보라진환 골격을 구성하는 B-N 결합은, 견고한 결합을 갖고 있다. 이 때문에, 제2 막은, 보라진환 골격 비함유의 제1 막보다, 산화에 의한 막 중으로부터의 B의 탈리가 적어, 산화 내성, 예를 들어 산소 플라즈마 등에 대한 내성이 높은 막, 즉, 애싱 내성이 높은 막이 된다. 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 산화 내성을, 예를 들어 제1 막과 제2 막의 사이의 임의의 특성으로 하도록 제어하는 것이 가능하게 된다. 즉, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막의 산화 내성을, HCDS 가스, BCl3 가스, NH3 가스를 사용하여 단막을 형성하는 경우나, HCDS 가스, TMB 가스를 사용하여 단막을 형성하는 경우 등에는 실현 불가능한 특성으로 할 수 있다. 즉, 산화 내성 제어, 즉, 애싱 내성 제어의 윈도우를 확장하는 것이 가능하게 된다.
(e) 이와 같이, 제1 막과 제2 막을 교대로 적층함으로써, 최종적으로 형성되는 SiBCN막을, 제1 막 및 제2 막 중 어느 하나 또는 양쪽의 특성을 겸비하는 막으로 하거나, 제1 막과 제2 막의 중간적인 특성을 갖는 막으로 하거나, 제1 막과도 제2 막과도 다른 별개 특성을 갖는 막으로 하거나 하는 것이 가능하게 된다. 이러한 경우, 상술한 바와 같이, 제1 막 및 제2 막의 막 두께를, 각각 예를 들어 0.1nm 이상 5nm 이하, 바람직하게는 0.1nm 이상 1nm 이하의 막 두께로 하는 것이 바람직하다.
제1 막 및 제2 막의 막 두께를 각각 0.1nm 미만의 막 두께로 하는 것은 곤란하다. 또한, 제1 막 및 제2 막 중 어느 하나의 막의 막 두께가 5nm를 초과하는 막 두께가 되면, 최종적으로 형성되는 SiBCN막이, 적층 방향으로 비통일(불통일)의 특성을 갖는 막, 즉, 제1 막과 제2 막이 단순히 적층되어, 적층 방향으로 특성이 분리된 막으로 되는 경우가 있다. 제1 막 및 제2 막의 막 두께를 각각 0.1nm 이상 5nm 이하, 바람직하게는 0.1nm 이상 1nm 이하의 막 두께로 함으로써, 최종적으로 형성되는 SiBCN막을, 적층 방향에 있어서 일치된 특성을 갖는 막, 즉, 제1 막 및 제2 막의 각각의 특성, 성질이 적정하게 융합된 막으로 하는 것이 가능하게 된다. 즉, 제1 막 및 제2 막의 막 두께를 상술한 범위 내의 막 두께로 함으로써, 최종적으로 형성되는 SiBCN막을, 막 전체로서 일체 불가분의 특성을 갖는 나노 라미네이트막으로 하는 것이 가능하게 된다. 또한, 상술한 세트의 실시 횟수(m1회, m2회)를 각각 1회 이상 50회 이하, 바람직하게는 1회 이상 10회 이하로 함으로써, 제1 막 및 제2 막의 막 두께를 각각 상술한 범위 내의 막 두께로 할 수 있다. 또한, 제1 막 및 제2 막의 막 두께를 각각 얇게 할수록, 즉, 상술한 각 세트의 실시 횟수(m1회, m2회)를 각각 적게 할수록, 최종적으로 형성되는 SiBCN막의 표면 조도를 향상시키는 것도 가능하게 된다.
(f) 제1 막, 제2 막을 형성할 때에, HCDS 가스와 같은 흡착성이 높은 원료 가스를 사용함으로써 각 막의 형성을 효율적으로 행할 수 있다. 결과로서, 최종적으로 형성되는 SiBCN막의 성막 레이트를 높이는 것이 가능하게 된다. 또한, 성막에 기여하지 않는 원료 가스의 소비량을 삭감할 수 있어, 성막 비용을 저감시키는 것도 가능하게 된다.
(g) 제1 막, 제2 막을 형성할 때에, HCDS 가스와 같은, 1 분자 중에 2개의 Si를 포함하는 원료 가스를 사용함으로써 최종적으로 형성되는 SiBCN막을, 막 중에 포함되는 Si끼리 서로 근접한 막으로 하는 것이 가능하게 된다. 왜냐하면, HCDS 가스가 자기 분해하지 않는 조건 하에서 제1층이나 제4층을 형성할 때, HCDS 가스 분자에 포함되는 2개의 Si는, 서로 근접한 상태를 유지한 채 웨이퍼(200)(표면의 하지막) 위에 흡착하게 된다. 또한, HCDS 가스가 자기 분해하는 조건 하에서 제1층이나 제4층을 형성할 때, HCDS 가스 분자에 포함되는 2개의 Si는, 서로 근접한 상태를 유지한 채 웨이퍼(200) 위에 퇴적되는 경향이 강해진다. 이와 같이, HCDS 가스와 같은 1 분자 중에 2개의 Si를 포함하는 가스를 사용함으로써, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스와 같은 1 분자 중에 1개의 Si밖에 갖지 않는 가스를 사용하는 경우와 비교하여, 제1층이나 제4층 중에 포함되는 Si끼리를 서로 근접한 상태로 하는 것이 가능하게 된다. 결과로서, 제1 막이나 제2 막, 즉, 최종적으로 형성되는 SiBCN막을, 막 내의 Si끼리 서로 근접한 막으로 하는 것이 가능하게 된다. 이에 의해, 막의 HF 내성을 향상시키는 것도 가능하게 된다.
(h) 제1 막, 제2 막을 형성할 때에, 각종 가스의 공급을 비동시, 즉, 교대로 행함으로써, 이들 가스를, 표면 반응이 지배적인 조건 하에서 적정하게 반응시킬 수 있다. 결과로서, 최종적으로 형성되는 SiBCN막의 단차 피복성, 막 두께 제어의 제어성을 각각 향상시키는 것이 가능하게 된다. 또한, 처리실(201) 내에서의 과잉의 기상 반응을 피할 수 있어, 파티클의 발생을 억제하는 것도 가능하게 된다.
(4) 변형예
본 실시 형태에서의 성막 시퀀스는, 도 4의 (a)에 나타내는 형태에 한정되지 않고, 이하에 나타내는 변형예와 같이 변경할 수 있다.
(변형예 1)
제2 막을 형성할 때, 웨이퍼(200)에 대하여 탄소 함유 가스로서 예를 들어 C3H6 가스를 공급하는 스텝을, 도 4의 (b)에 나타내는 변형예와 같은 타이밍에 행하도록 해도 된다. 즉, C3H6 가스를 공급하는 스텝을, TMB 가스를 공급하는 스텝 5와 동시에 행하도록 해도 된다.
스텝 5와 동시에 행하는 C3H6 가스를 공급하는 스텝에서는, 밸브(243e)의 개폐 제어를, 스텝 1에서의 밸브(243a)의 개폐 제어와 마찬가지의 수순으로 행한다. MFC(241e)에 의해 제어되는 C3H6 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 5000Pa, 바람직하게는 1 내지 4000Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 C3H6 가스의 분압은, 예를 들어 0.01 내지 4950Pa의 범위 내의 압력으로 한다. C3H6 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 예를 들어, 도 4의 (a)에 나타내는 성막 시퀀스의 스텝 5와 마찬가지의 처리 조건으로 한다. 탄소 함유 가스로서는, C3H6 가스 외에, 예를 들어 아세틸렌(C2H2) 가스, 에틸렌(C2H4) 가스 등의 탄화수소계 가스를 사용할 수 있다.
이 변형예에 의하면, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지의 효과를 발휘한다. 또한, 제2 막을 형성할 때, 상술한 조건 하에서 웨이퍼(200)에 대하여 C3H6 가스를 공급함으로써, 제2 막 중에, TMB 가스에 포함되어 있던 C 성분뿐만 아니라, C3H6 가스에 포함되어 있던 C 성분도 첨가하는 것이 가능하게 된다. 이와 같이, 제2 막을 형성할 때에 2종류의 C 소스(더블 카본 소스)를 사용함으로써 도 4의 (a)에 나타내는 성막 시퀀스보다, 제2 막 내의 C 농도를 높이는 것이 가능하게 된다. 결과로서, 최종적으로 형성되는 SiBCN막 내의 C 농도를 높게 할 수 있어, 이 막을, C가 풍부한 막으로 할 수 있다. 또한, 탄소 함유 가스로서, C3H6 가스와 같은 N을 포함하지 않는 가스, 즉, N 소스로서 작용하지 않는 탄화수소계 가스를 사용함으로써, 제2 막 중에, 탄소 함유 가스 유래의 N 성분이 첨가되어버리는 것을 방지할 수 있다. 이에 의해, 최종적으로 형성되는 SiBCN막 내의 N 농도의 증가를 억제하면서, 그 C 농도를 높게 하는 것이 가능하게 된다.
또한, 이 변형예에 의하면, C3H6 가스를 공급하는 스텝을 스텝 5와 동시에 행하므로, 1 사이클당의 소요 시간을 증가시키지 않는다. 이 때문에, 성막 처리의 생산성을 유지하는 것이 가능하게 된다.
(변형예 2)
제1 막을 형성할 때, 도 5의 (a)에 도시한 바와 같이, 스텝 3에서, NH3 가스 대신에 N 및 C를 포함하는 가스로서 예를 들어 TEA 가스를 공급하도록 해도 된다.
TEA 가스를 공급하는 스텝에서는, 밸브(243d)의 개폐 제어를, 스텝 1에서의 밸브(243a)의 개폐 제어와 마찬가지의 수순으로 행한다. MFC(241d)에 의해 제어되는 TEA 가스의 공급 유량은, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 처리실(201) 내의 압력은, 예를 들어 1 내지 5000Pa, 바람직하게는 1 내지 4000Pa의 범위 내의 압력으로 한다. 처리실(201) 내에서의 TEA 가스의 분압은, 예를 들어 0.01 내지 4950Pa의 범위 내의 압력으로 한다. TEA 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉, 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 그 밖의 처리 조건은, 예를 들어 도 4의 (a)에 나타내는 성막 시퀀스의 스텝 3과 마찬가지의 처리 조건으로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 TEA 가스를 공급함으로써, 웨이퍼(200) 위에 형성된 제2층과 TEA 가스를 반응시켜, 제2층을 개질시킬 수 있다. 이때, TEA 가스에 포함되어 있던 N 성분 및 C 성분을 제2층에 부가함으로써, 웨이퍼(200) 위에 Si, B, C 및 N을 포함하는 제3층, 즉, SiBCN층이 형성된다. 제3층은, 보라진환 골격 비함유의 층이 된다. 그리고, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지로, 제1 세트를 소정 횟수 행함으로써, 웨이퍼(200) 위에 제1 막으로서, 보라진환 골격 비함유의 SiBCN막이 형성된다.
N 및 C를 포함하는 가스로서는, TEA 가스 외에, 예를 들어 디에틸아민((C2H5)2NH, 약칭: DEA) 가스, 모노에틸아민(C2H5NH2, 약칭: MEA) 가스 등의 에틸아민계 가스, 트리메틸아민((CH3)3N, 약칭: TMA) 가스, 디메틸아민((CH3)2NH, 약칭: DMA) 가스, 모노메틸아민(CH3NH2, 약칭: MMA) 가스 등의 메틸아민계 가스, 트리프로필아민((C3H7)3N, 약칭: TPA) 가스, 디프로필아민((C3H7)2NH, 약칭: DPA) 가스, 모노프로필아민(C3H7NH2, 약칭: MPA) 가스 등의 프로필아민계 가스, 트리이소프로필아민([(CH3)2CH]3N, 약칭: TIPA) 가스, 디이소프로필아민([(CH3)2CH]2NH, 약칭: DIPA) 가스, 모노이소프로필아민((CH3)2CHNH2, 약칭: MIPA) 가스 등의 이소프로필아민계 가스, 트리부틸아민((C4H9)3N, 약칭: TBA) 가스, 디부틸아민((C4H9)2NH, 약칭: DBA) 가스, 모노부틸아민(C4H9NH2, 약칭: MBA) 가스 등의 부틸아민계 가스 또는, 트리이소부틸아민([(CH3)2CHCH2]3N, 약칭: TIBA) 가스, 디이소부틸아민([(CH3)2CHCH2]2NH, 약칭: DIBA) 가스, 모노이소부틸아민((CH3)2CHCH2NH2, 약칭: MIBA) 가스 등의 이소부틸아민계 가스를 사용할 수 있다. 즉, 아민계 가스로서는, 예를 들어 (C2H5)xNH3 -x, (CH3)xNH3-x, (C3H7)xNH3 -x, [(CH3)2CH]xNH3 -x, (C4H9)xNH3 -x, [(CH3)2CHCH2]xNH3 -x(화학식 중 x는 1 내지 3의 정수)의 조성 식으로 표현되는 가스 중 적어도 1종류의 가스를 사용할 수 있다. 제1 막, 즉, 최종적으로 형성되는 SiBCN막 내의 N 농도의 증가를 억제하면서, 그 C 농도를 높게 하기 위해서는, 아민계 가스로서, 1 분자 중에서 N의 수보다 C의 수가 더 많은 가스를 사용하는 것이 바람직하다. 즉, 아민계 가스로서는, TEA, DEA, MEA, TMA, DMA, TPA, DPA, MPA, TIPA, DIPA, MIPA, TBA, DBA, MBA, TIBA, DIBA 및 MIBA로 이루어지는 군에서 선택되는 적어도 1개의 아민을 포함하는 가스를 사용하는 것이 바람직하다.
또한, N 및 C를 포함하는 가스로서는, 아민계 가스 외에, 예를 들어 유기 히드라진계 가스를 사용할 수 있다. 여기서, 유기 히드라진계 가스란, 기체 상태의 유기 히드라진(화합물), 예를 들어 상온 상압 하에서 액체 상태인 유기 히드라진을 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 유기 히드라진 등의 히드라진기를 포함하는 가스이다. 유기 히드라진계 가스를, 간단히, 유기 히드라진 가스 또는, 유기 히드라진 화합물 가스라 칭할 수도 있다. 유기 히드라진계 가스는, C, N 및 H의 3 원소로 구성되는 Si 비함유의 가스이며, 나아가, Si 및 금속 비함유의 가스다. 유기 히드라진계 가스로서는, 예를 들어 모노메틸히드라진((CH3)HN2H2, 약칭: MMH) 가스, 디메틸히드라진((CH3)2N2H2, 약칭: DMH) 가스, 트리메틸히드라진((CH3)2N2(CH3)H, 약칭: TMH) 가스 등의 메틸히드라진계 가스나, 에틸히드라진((C2H5)HN2H2, 약칭: EH) 가스 등의 에틸히드라진계 가스를 사용할 수 있다. 제1 막, 즉, 최종적으로 형성되는 SiBCN막 내의 N 농도의 증가를 억제하면서, 그 C 농도를 높게 하기 위해서는, 유기 히드라진계 가스로서, 1 분자 중에서 N의 수보다 C의 수가 더 많은 가스를 사용하는 것이 바람직하다.
아민계 가스나 유기 히드라진계 가스로서는, 1 분자 중에서 C를 포함하는 리간드를 복수 갖는 가스, 즉, 1 분자 중에서 알킬기 등의 탄화수소기를 복수 갖는 가스를 사용하는 것이 바람직하다. 구체적으로는, 아민계 가스나 유기 히드라진계 가스로서는, 1 분자 중에서 C를 포함하는 리간드(알킬기 등의 탄화수소기), 즉, 유기 리간드를 3개, 또는 2개 갖는 가스를 사용하는 것이 바람직하다.
이 변형예에 의하면, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지의 효과를 발휘한다. 또한, 제1 막을 형성할 때, 스텝 3에서 NH3 가스 대신에 TEA 가스를 공급함으로써, 제1 막 중에, N 성분뿐만 아니라, C 성분도 첨가하는 것이 가능하게 된다. 즉, 최종적으로 형성되는 SiBCN막 중에, TMB 가스에 포함되어 있던 C 성분뿐만 아니라, TEA 가스에 포함되어 있던 C 성분도 첨가하는 것이 가능하게 된다. 이와 같이, 제1 막 형성 공정과 제2 막 형성 공정을 포함하는 1 사이클 중에 2종류의 C 소스(더블 카본 소스)를 사용하여 성막을 행함으로써, 도 4의 (a)에 나타내는 성막 시퀀스보다, 막 내의 C 농도를 높이는 것이 가능하게 된다.
또한, 이 변형예에 의하면, 제1 막으로서 SiBN막이 아니라 SiBCN막을 형성하는 경우에도, 제1 막 형성 공정에서 C3H6 가스 등의 탄소 함유 가스를 공급하는 스텝을 추가할 필요가 없으므로, 1 사이클당의 소요 시간을 증가시키지 않는다. 이 때문에, 성막 처리의 생산성을 유지하는 것이 가능하게 된다.
(변형예 3)
제1 막을 형성할 때, 웨이퍼(200)에 대하여 탄소 함유 가스로서 예를 들어 C3H6 가스를 공급하는 스텝을, 도 5의 (b)에 나타내는 변형예와 같은 타이밍에 행하도록 해도 된다. 즉, C3H6 가스를 공급하는 스텝을, NH3 가스를 공급하는 스텝 3보다 먼저 행하도록 해도 된다. 즉, 스텝 2에서 형성한 제2층에 대하여 NH3 가스를 공급하기 전에, C3H6 가스를 먼저 공급하도록 해도 된다. C3H6 가스는 제2층의 표면의 적어도 일부에 흡착되게 된다. 이와 같이, C3H6 가스를 공급하는 스텝을, NH3 가스를 공급하는 스텝 3과 비동시로 행하도록 해도 된다. 이 경우, 제1 막으로서 SiBCN막이 형성되게 된다.
스텝 3보다 먼저 행하는 C3H6 가스를 공급하는 스텝에서는, 예를 들어 도 4의 (b)에 나타내는 C3H6 가스를 공급하는 스텝, 즉, 변형예 1에서 제2 막을 형성할 때의 C3H6 가스를 공급하는 스텝과 마찬가지의 처리 수순, 처리 조건에 의해 행한다. 탄소 함유 가스로서는, C3H6 가스 외에, 예를 들어 상술한 탄화수소계 가스를 사용할 수 있다.
이 변형예에 의하면, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지의 효과를 발휘한다. 또한, 제1 막을 형성할 때, 상술한 조건 하에서 웨이퍼(200)에 대하여 C3H6 가스를 공급하는 스텝을 행함으로써, 제1 막 내, 즉, 최종적으로 형성되는 SiBCN막 중에, C3H6 가스에 포함되어 있던 C 성분을 첨가하는 것이 가능하게 된다. 즉, 최종적으로 형성되는 SiBCN막 중에, TMB 가스에 포함되어 있던 C 성분뿐만 아니라, C3H6 가스에 포함되어 있던 C 성분도 첨가하는 것이 가능하게 된다. 이와 같이, 제1 막 형성 공정과 제2 막 형성 공정을 포함하는 1 사이클 중에 2종류의 C 소스(더블 카본 소스)를 사용하여 성막을 행함으로써, 도 4의 (a)에 나타내는 성막 시퀀스보다, 막 내의 C 농도를 높이는 것이 가능하게 된다.
또한, 이 변형예에 의하면, 제1 막을 형성할 때, 탄소 함유 가스로서, C3H6 가스와 같은 N을 포함하지 않는 가스, 즉, N 소스로서 작용하지 않는 탄화수소계 가스를 사용함으로써, 제1 막 중에, 탄소 함유 가스 유래의 N 성분이 첨가되어버리는 것을 방지할 수 있다. 이에 의해, 최종적으로 형성되는 SiBCN막 내의 N 농도의 증가를 억제하면서, 그 C 농도를 높게 하는 것이 가능하게 된다.
또한, 이 변형예에 의하면, 제1 막을 형성할 때, C3H6 가스를 공급하는 스텝을, NH3 가스를 공급하는 스텝 3과는 독립해서 행하므로, 도 5의 (a)에 나타내는 변형예보다, SiBCN막의 조성비 제어의 제어성을 향상시키는 것이 가능하게 된다. 즉, C 소스로서 작용하는 C3H6 가스의 공급과, N 소스로서 작용하는 NH3 가스의 공급을, 상호의 공급 타이밍이 겹치지 않도록 독립해서 행하므로, 이들의 스텝의 처리 조건을 자유롭게 설정하는 것이 가능하게 된다. 결과로서, 제1 막 내, 즉, 보라진환 골격 비함유의 SiBCN막 내의 N 농도의 증가를 억제하면서, 그 C 농도를 높이는 것 등이 용이하게 된다. 단, 스텝 3에서, 표면에 C3H6 가스가 흡착된 제2층과 NH3 가스의 반응, 즉, 제3층으로서의 SiBCN층의 형성을 확실하게 행하기 위해서는, 제2층의 표면 위에 대한 C3H6 가스 분자 등의 흡착 반응이 포화되기 전에, 즉, 제2층의 표면 위에 형성되는 C3H6 가스의 흡착층(화학 흡착층)이 연속층으로 되기 전에(불연속층일 동안에), C3H6 가스를 공급하는 스텝을 종료시키는 것이 바람직하다.
(변형예 4)
제2 막을 형성할 때, 웨이퍼(200)에 대하여 질소 함유 가스로서 예를 들어 NH3 가스를 공급하는 스텝을, 도 6의 (a)에 나타내는 변형예와 같은 타이밍에 행하도록 해도 된다. 즉, NH3 가스를 공급하는 스텝을, TMB 가스를 공급하는 스텝 5 후에 행하도록 해도 된다. 즉, 스텝 5에서 형성한 제5층에 대하여 NH3 가스를 공급하도록 해도 된다.
스텝 5 후에 행하는 NH3 가스를 공급하는 스텝은, 예를 들어 제1 막을 형성할 때의 스텝 3과 마찬가지의 처리 수순, 처리 조건에 의해 행한다. 질소 함유 가스로서는, NH3 가스 외에, 예를 들어 상술한 질화수소계 가스를 사용할 수 있다.
상술한 조건 하에서 웨이퍼(200), 즉, 제5층에 대하여 NH3 가스를 공급함으로써, 제1 막 위에 형성된 제5층과 NH3 가스를 반응시켜, 제5층의 적어도 일부를 질화(개질)시킬 수 있다. 이 질화에 의해, 제5층에 N을 더 부여하여, 제5층에 포함되는 C의 적어도 일부를 제5층으로부터 탈리시킬 수 있다. 이때, 예를 들어 처리실(201) 내의 압력을 높게 하거나 하여 질화력을 높임으로써, 제5층에 포함되는 C의 대부분을 탈리시켜서 불순물 레벨로 하거나, 제5층에 포함되는 C를 실질적으로 소멸시킬 수도 있다. 제5층이 개질됨으로써, 제1 막 위에, 보라진환 골격을 포함하는 N이 풍부(C 부족)한 SiBCN층, 또는, 보라진환 골격을 포함하는 SiBN층이 형성된다.
이때, NH3 가스를 상술한 조건 하에서 공급함으로써, 제5층에 포함되어 있는 보라진환 골격을 파괴하지 않고 유지하면서, 상술한 일련의 반응을 발생시키는 것이 가능하게 된다. 제5층에 포함되어 있는 보라진환 골격을 유지한 상태에서, 이 일련의 반응을 발생시키기 위한 가장 중요한 팩터는, 웨이퍼(200)의 온도와 처리실(201) 내의 압력, 특히 웨이퍼(200)의 온도라 생각되며, 이들을 적정하게 제어함으로써, 적정한 반응을 발생시키는 것이 가능하게 된다.
또한, 이때, 제5층에 포함되어 있던 Cl 등의 불순물은, NH3 가스에 의한 제5층의 개질 반응의 과정에서, 적어도 Cl을 포함하는 가스 상태 물질을 구성하여, 처리실(201) 내로부터 배출된다. 이에 의해, 개질 후의 제5층은, 개질 전의 제5층에 비해 Cl 등의 불순물이 적은 층으로 된다.
그 후, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지로, 제2 세트를 소정 횟수 행함으로써, 제1 막 위에, 제2 막으로서, 보라진환 골격을 포함하는 N이 풍부(C 부족)한 SiBCN막, 또는, 보라진환 골격을 포함하는 SiBN막이 형성된다. 최종적으로 형성되는 제1 막과 제2 막이 교대로 적층되어 이루어지는 적층막(나노 라미네이트막)은, 보라진환 골격을 포함하는 SiBCN막, 또는, 보라진환 골격을 포함하는 SiBN막이 된다.
이 변형예에 의하면, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지의 효과를 발휘한다. 또한, 제2 막을, N이 풍부(C 부족)한 SiBCN막, 또는, SiBN막으로 할 수 있다. 이에 의해, 최종적으로 형성되는 막의 조성비 제어의 윈도우를 더욱 확장하는 것이 가능하게 된다. 또한, NH3 가스를 공급함으로써 제5 층 중으로부터 Cl 등의 불순물이 더 탈리되므로, 최종적으로 형성되는 막 내의 불순물 농도를 더욱 저감시켜, 막의 HF 내성을 더욱 향상시키는 것이 가능하게 된다.
(변형예 5)
제2 막을 형성할 때, 웨이퍼(200)에 대하여 N 및 C를 포함하는 가스로서 예를 들어 TEA 가스를 공급하는 스텝을, 도 6의 (b)에 나타내는 변형예와 같은 타이밍에 행하도록 해도 된다. 즉, TEA 가스를 공급하는 스텝을, TMB 가스를 공급하는 스텝 5 후에 행하도록 해도 된다. 즉, 스텝 5에서 형성한 제5층에 대하여 TEA 가스를 공급하도록 해도 된다.
스텝 5 후에 행하는 TEA 가스를 공급하는 스텝은, 예를 들어 도 5의 (a)에 나타내는 변형예 2의 스텝 3, 즉, 변형예 2에서 제1 막을 형성할 때의 스텝 3과 마찬가지의 처리 수순, 처리 조건에 의해 행한다. N 및 C를 포함하는 가스로서는, TEA 가스 외에, 상술한 각종 아민계 가스나 유기 히드라진계 가스를 사용할 수 있다.
상술한 조건 하에서 웨이퍼(200), 즉, 제5층에 대하여 TEA 가스를 공급함으로써, 제1 막 위에 형성된 제5층과 TEA 가스를 반응시켜, 제5층을 개질시킬 수 있다. 이때, TEA 가스에 포함되어 있던 N 성분 및 C 성분을 제5층에 부가함으로써, 제1 막 위에, 보라진환 골격을 포함하는 N이 풍부하고 또한 C가 풍부한 SiBCN층이 형성된다.
또한, 이때, TEA 가스를 상술한 조건 하에서 공급함으로써, 제5층에 포함되어 있는 보라진환 골격을 파괴하지 않고 유지하면서, 상술한 일련의 반응을 발생시키는 것이 가능하게 된다. 제5층에 포함되어 있는 보라진환 골격을 유지한 상태에서, 이 일련의 반응을 발생시키기 위한 가장 중요한 팩터는, 웨이퍼(200)의 온도와 처리실(201) 내의 압력, 특히 웨이퍼(200)의 온도라 생각되며, 이들을 적정하게 제어함으로써, 적정한 반응을 발생시키는 것이 가능하게 된다.
그 후, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지로, 제2 세트를 소정 횟수 행함으로써, 제1 막 위에, 제2 막으로서, 보라진환 골격을 포함하는 N이 풍부하고 또한 C가 풍부한 SiBCN막이 형성된다.
이 변형예에 의하면, 도 4의 (a)에 나타내는 성막 시퀀스와 마찬가지의 효과를 발휘한다. 또한, 제2 막을, N이 풍부하고 C가 풍부한 SiBCN막으로 할 수 있다. 즉, 제2 막 중에, TMB 가스에 포함되어 있던 N 성분, C 성분뿐만 아니라, TEA 가스에 포함되어 있던 C 성분, N 성분도 첨가하는 것이 가능하게 된다. 이에 의해, 최종적으로 형성되는 SiBCN막의 조성비 제어의 윈도우를 더욱 확장하는 것이 가능하게 된다.
(변형예 6 내지 9)
도 4의 (a)에 나타내는 성막 시퀀스나, 상술한 변형예 1 내지 5의 성막 시퀀스는, 임의로 조합하는 것이 가능하다.
예를 들어, 도 7의 (a)에 나타내는 변형예 6과 같이, 도 5의 (a)에 나타내는 변형예 2에서의 제1 막 형성 공정의 성막 시퀀스와, 도 6의 (a)에 나타내는 변형예 4에서의 제2 막 형성 공정의 성막 시퀀스를 조합하여, 이것을 1 사이클로 해도 된다. 또한, 예를 들어 도 7의 (b)에 나타내는 변형예 7과 같이, 도 5의 (a)에 나타내는 변형예 2에서의 제1 막 형성 공정의 성막 시퀀스와, 도 6의 (b)에 나타내는 변형예 5에서의 제2 막 형성 공정의 성막 시퀀스를 조합하여, 이것을 1 사이클로 해도 된다.
또한 예를 들어, 도 8의 (a)에 나타내는 변형예 8과 같이, 도 5의 (b)에 나타내는 변형예 3에서의 제1 막 형성 공정의 성막 시퀀스와, 도 6의 (a)에 나타내는 변형예 4에서의 제2 막 형성 공정의 성막 시퀀스를 조합하여, 이것을 1 사이클로 해도 된다. 또한, 예를 들어 도 8의 (b)에 나타내는 변형예 9와 같이, 도 5의 (b)에 나타내는 변형예 3에서의 제1 막 형성 공정의 성막 시퀀스와, 도 6의 (b)에 나타내는 변형예 3에서의 제2 막 형성 공정의 성막 시퀀스를 조합하여, 이것을 1 사이클로 해도 된다.
이들 변형예에 의해서도, 도 4의 (a)에 나타내는 성막 시퀀스나, 상술한 변형예 1 내지 5의 성막 시퀀스와 마찬가지의 효과를 발휘한다.
또한 예를 들어, 탄소 함유 가스로서 예를 들어 C3H6 가스를 공급하는 스텝을, 도 4의 (b)에 나타내는 변형예 1과 같이 TMB 가스를 공급하는 스텝 5와 동시에 행할뿐만 아니라, 다른 타이밍에서 행해도 된다. 또한, C3H6 가스를 공급하는 스텝을, 도 5의 (b)에 나타내는 변형예 3과 같이 NH3 가스를 공급하는 스텝 3과 비동시로, 즉, 스텝 3보다 먼저 행할뿐만 아니라, 다른 타이밍에 행하도록 해도 된다. 또한, C3H6 가스를 공급하는 스텝은, 도 4의 (a)에 나타내는 성막 시퀀스나, 상술한 변형예 1 내지 5의 성막 시퀀스에서의 임의의 타이밍에 행할 수 있다.
예를 들어, C3H6 가스를 공급하는 스텝을, TMB 가스를 공급하는 스텝, BCl3 가스를 공급하는 스텝, TEA 가스를 공급하는 스텝, HCDS 가스를 공급하는 스텝, NH3 가스를 공급하는 스텝 중 적어도 어느 하나의 스텝과 동시에 행하도록 해도 된다. 이들 변형예에 의해서도, 도 4의 (b)에 나타내는 변형예 1과 마찬가지의 효과를 발휘한다. 단, C3H6 가스를, HCDS 가스와 동시에 공급하는 것이 아니라, TMB 가스나 BCl3 가스나 TEA 가스나 NH3 가스와 동시에 공급하는 것이, 처리실(201) 내에서의 C3H6 가스의 기상 반응을 피할 수 있어, 처리실(201) 내에서의 파티클의 발생을 억제하는 것이 가능하게 되는 점에서, 바람직하다. 또한, C3H6 가스를, BCl3 가스나 NH3 가스와 동시에 공급하는 것이 아니라, TMB 가스나 TEA 가스와 동시에 공급하는 것이, 형성되는 막의 조성비 제어의 제어성을 높일 수 있는 점에서, 바람직하다.
또한 예를 들어, C3H6 가스를 공급하는 스텝을, TMB 가스를 공급하는 스텝, BCl3 가스를 공급하는 스텝, TEA 가스를 공급하는 스텝, HCDS 가스를 공급하는 스텝, NH3 가스를 공급하는 스텝 중 적어도 어느 하나의 스텝과 비동시로 행하도록 해도 된다. 예를 들어, C3H6 가스의 공급을, TMB 가스, BCl3 가스, TEA 가스, HCDS 가스, NH3 가스의 공급보다 먼저 행하도록 해도 되고, 또한, 후에 행하도록 해도 된다. 즉, 이들 가스의 공급을, 각각의 가스의 공급 타이밍(공급 기간)이 중복되지 않게 행하도록 해도 된다. 이들 변형예에 의해서도, 도 5의 (b)에 나타내는 변형예 3과 마찬가지의 효과를 발휘한다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였다. 그러나, 본 발명은 상술한 실시 형태에 한정되는 것이 아니라, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태에서는, 제1 막을 형성할 때, 원료 가스를 공급한 후, 보라진환 골격 비함유의 붕소 함유 가스를 공급하는 예에 대하여 설명하였다. 또한, 제2 막을 형성할 때, 원료 가스를 공급한 후, 보라진환 골격을 포함하는 가스를 공급하는 예에 대하여 설명하였다. 본 발명은 상술한 형태에 한정되지 않고, 이들 가스의 공급 순서는 역이어도 된다. 즉, 보라진환 골격 비함유의 붕소 함유 가스를 공급한 후, 원료 가스를 공급하도록 해도 되고, 또한, 보라진환 골격을 포함하는 가스를 공급한 후, 원료 가스를 공급하도록 해도 된다. 가스의 공급 순서를 이렇게 바꿈으로써, 형성되는 박막의 막질이나 조성비를 변화시키는 것이 가능하게 된다.
또한 예를 들어, 상술한 실시 형태에서는, 제1 막 및 제2 막을 형성할 때, 각각, 원료 가스로서 HCDS 가스를 사용하는 예, 즉, 원료 가스의 종류를 동일하게 하는 예에 대하여 설명하였다. 본 발명은 상술한 형태에 한정되지 않고, 제1 막을 형성할 때에 사용하는 원료 가스의 종류와, 제2 막을 형성할 때에 사용하는 원료 가스의 종류를 상이하게 해도 된다. 원료 가스의 종류를 이렇게 바꿈으로써, 형성되는 박막의 막질이나 조성비를 변화시키는 것이 가능하게 된다.
또한 예를 들어, 상술한 실시 형태에서는, 제1 막을 형성한 후, 제2 막을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 형태에 한정되지 않고, 제2 막을 형성한 후, 제1 막을 형성하도록 해도 된다. 단, 상술한 바와 같이, 보라진환 골격 비함유의 제1 막을 형성한 후, 보라진환 골격을 포함하는 제2 막을 형성하는 것이, 최종적으로 형성되는 막의 표면 조도를 향상시키기 쉬워지는 점에서, 바람직하다.
상술한 실시 형태나 각 변형예의 방법에 의해 형성한 실리콘계 절연막을, 사이드 월 스페이서로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다. 또한, 상술한 실리콘계 절연막을, 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능하게 된다. 또한, 상술한 실시 형태나 일부 변형예에 의하면, 플라즈마를 사용하지 않고, 이상적 양론비의 실리콘계 절연막을 형성할 수 있다. 플라즈마를 사용하지 않고 실리콘계 절연막을 형성할 수 있으므로, 예를 들어 DPT의 SADP막 등, 플라즈마 대미지를 우려하는 공정에 대한 적응도 가능하게 된다.
상술한 실시 형태에서는, 소정 원소를 포함하는 붕탄질화막이나 붕질화막으로서, 반도체 원소인 Si를 포함하는 실리콘계 절연막(SiBCN막, SiBN막)을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 형태에 한정되지 않고, 예를 들어 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성하는 경우에도 적용할 수 있다.
즉, 본 발명은, 예를 들어 TiBCN막, TiBN막, ZrBCN막, ZrBN막, HfBCN막, HfBN막, TaBCN막, TaBN막, AlBCN막, AlBN막, MoBCN막, MoBN막 등의 금속 붕탄질화막이나 금속 붕질화막을 형성하는 경우에도, 적절하게 적용할 수 있다. 이 경우, 원료 가스로서, 상술한 실시 형태에서의 Si를 포함하는 원료 가스 대신에 금속 원소를 포함하는 원료 가스를 사용하여, 상술한 실시 형태와 마찬가지의 시퀀스에 의해 성막을 행할 수 있다.
TiBCN막, TiBN막을 형성하는 경우에는, Ti를 포함하는 원료 가스로서, 예를 들어 Ti 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. Ti 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 원료 가스나, 티타늄테트라플루오라이드(TiF4) 등의 Ti 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 보라진환 골격 비함유의 붕소 함유 가스, 보라진환 골격을 포함하는 가스, 탄소 함유 가스, 질소 함유 가스, N 및 C를 포함하는 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
ZrBCN막, ZrBN막을 형성하는 경우에는, Zr을 포함하는 원료 가스로서, 예를 들어 Zr 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. Zr 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 원료 가스나, 지르코늄테트라플루오라이드(ZrF4) 등의 Zr 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 보라진환 골격 비함유의 붕소 함유 가스, 보라진환 골격을 포함하는 가스, 탄소 함유 가스, 질소 함유 가스, N 및 C를 포함하는 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
HfBCN막, HfBN막을 형성하는 경우에는, Hf를 포함하는 원료 가스로서, 예를 들어 Hf 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. Hf 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어, 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 원료 가스나, 하프늄테트라플루오라이드(HfF4) 등의 Hf 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 보라진환 골격 비함유의 붕소 함유 가스, 보라진환 골격을 포함하는 가스, 탄소 함유 가스, 질소 함유 가스, N 및 C를 포함하는 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
TaBCN막, TaBN막을 형성하는 경우에는, Ta를 포함하는 원료 가스로서, 예를 들어 Ta 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. Ta 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 탄탈펜타클로라이드(TaCl5) 등의 Ta 및 클로로기를 포함하는 원료 가스나, 탄탈펜타플루오라이드(TaF5) 등의 Ta 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 보라진환 골격 비함유의 붕소 함유 가스, 보라진환 골격을 포함하는 가스, 탄소 함유 가스, 질소 함유 가스, N 및 C를 포함하는 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
AlBCN막, AlBN막을 형성하는 경우에는, Al을 포함하는 원료 가스로서, 예를 들어 Al 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. Al 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 알루미늄트리클로라이드(AlCl3) 등의 Al 및 클로로기를 포함하는 원료 가스나, 알루미늄트리플루오라이드(AlF3) 등의 Al 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 보라진환 골격 비함유의 붕소 함유 가스, 보라진환 골격을 포함하는 가스, 탄소 함유 가스, 질소 함유 가스, N 및 C를 포함하는 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
MoBCN막, MoBN막을 형성하는 경우에는, Mo를 포함하는 원료 가스로서, 예를 들어 Mo 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. Mo 및 할로겐 원소를 포함하는 원료 가스로서는, 예를 들어 몰리브덴펜타클로라이드(MoCl5) 등의 Mo 및 클로로기를 포함하는 원료 가스나, 몰리브덴펜타플루오라이드(MoF5) 등의 Mo 및 플루오로기를 포함하는 원료 가스를 사용할 수 있다. 보라진환 골격 비함유의 붕소 함유 가스, 보라진환 골격을 포함하는 가스, 탄소 함유 가스, 질소 함유 가스, N 및 C를 포함하는 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
즉, 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 적절하게 적용할 수 있다.
이들 각종 박막의 형성에 사용되는 프로세스 레시피(처리 수순이나 처리 조건 등이 기재된 프로그램)는, 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라, 각각 개별로 준비하는(복수 준비하는) 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리의 내용에 따라, 복수의 프로세스 레시피 중에서 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는, 기판 처리의 내용에 따라 개별로 준비된 복수의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체(외부 기억 장치(123))를 통해, 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 저장(인스톨)해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리 장치가 구비하는 CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 프로세스 레시피 중에서, 기판 처리의 내용에 따라, 적정한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이렇게 구성함으로써, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건 등의 입력 부담 등)을 저감할 수 있고, 조작 미스를 피하면서, 기판 처리를 신속하게 개시할 수 있게 된다.
상술한 프로세스 레시피는, 새롭게 작성하는 경우에 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 변경함으로써 준비해도 된다. 프로세스 레시피를 변경하는 경우에는, 변경 후의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체를 통해, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 직접 변경하도록 해도 된다.
상술한 실시 형태에서는, 한번에 복수 장의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용하여 박막을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 예를 들어 한번에 1장 또는 수 장의 기판을 처리하는 낱장식의 기판 처리 장치를 사용하여 박막을 형성하는 경우에도, 적절하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 형성하는 예에 대하여 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 콜드월형의 처리로를 갖는 기판 처리 장치를 사용하여 박막을 형성하는 경우에도 적절하게 적용할 수 있다. 이들 경우에 있어서도, 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한, 상술한 실시 형태나 변형예 등은, 적절히 조합하여 사용할 수 있다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기한다.
(부기 1)
본 발명의 일 형태에 의하면,
적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 공정과,
적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막(적어도 상기 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격을 함유하는 막)을 형성하는 공정,
을 포함하는 사이클을 제1 소정 횟수(n회) 행함으로써, 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 공정을 갖는 반도체 장치의 제조 방법 및 기판 처리 방법이 제공된다.
(부기 2)
부기 1에 기재된 방법이며, 바람직하게는
상기 제1 막을 형성하는 공정에서는,
상기 기판에 대하여 상기 소정 원소를 포함하는 제1 원료 가스를 공급하는 공정과,
상기 기판에 대하여 보라진환 골격 비함유의 붕소 함유 가스를 공급하는 공정과,
상기 기판에 대하여 질소 함유 가스, 또는, 질소 및 탄소를 포함하는 가스를 공급하는 공정,
을 포함하는 제1 세트를 제2 소정 횟수(m1회) 행한다.
상기 원료 가스로서는, 예를 들어 상기 소정 원소 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. 상기 붕소 함유 가스로서는, 예를 들어 보란계 가스를 사용할 수 있다. 상기 질소 함유 가스로서는, 예를 들어 질화수소계 가스 등의 질화 가스를 사용할 수 있다. 상기 질소 및 탄소를 포함하는 가스로서는, 예를 들어 아민계 가스나 유기 히드라진계 가스를 사용할 수 있다.
(부기 3)
부기 2에 기재된 방법이며, 바람직하게는
상기 제1 세트는, 상기 기판에 대하여 탄소 함유 가스를 공급하는 공정을 더 포함한다.
예를 들어, 상기 탄소 함유 가스를 공급하는 공정을, 상기 질소 함유 가스를 공급하는 공정보다 먼저 행한다. 또한 예를 들어, 상기 탄소 함유 가스를 공급하는 공정을, 상기 질소 및 탄소를 포함하는 가스를 공급하는 공정과 동시 또는 비동시로 행한다. 상기 탄소 함유 가스로서는, 예를 들어 탄화수소계 가스를 사용할 수 있다.
(부기 4)
부기 1 내지 3 중 어느 한 항에 기재된 방법이며, 바람직하게는
상기 제2 막을 형성하는 공정에서는,
상기 기판에 대하여 상기 소정 원소를 포함하는 제2 원료 가스를 공급하는 공정과,
상기 기판에 대하여 보라진환 골격을 포함하는 가스를 공급하는 공정,
을 포함하는 제2 세트를, 상기 보라진환 골격을 포함하는 가스에서의 보라진환 골격이 유지되는 조건 하에서 제3 소정 횟수(m2회) 행한다.
상기 원료 가스로서는, 예를 들어 상기 소정 원소 및 할로겐 원소를 포함하는 원료 가스를 사용할 수 있다. 상기 보라진환 골격을 포함하는 가스로서는, 예를 들어 유기 보라진계 가스 등의 보라진환 골격 및 유기 리간드를 포함하는 가스를 사용할 수 있다.
(부기 5)
부기 4에 기재된 방법이며, 바람직하게는
상기 제2 세트는, 상기 기판에 대하여 질소 함유 가스, 또는, 질소 및 탄소를 포함하는 가스를 공급하는 공정을 더 포함한다.
상기 질소 함유 가스로서는, 예를 들어 질화수소계 가스 등의 질화 가스를 사용할 수 있다. 상기 질소 및 탄소를 포함하는 가스로서는, 예를 들어 아민계 가스나 유기 히드라진계 가스를 사용할 수 있다.
(부기 6)
부기 4 또는 5에 기재된 방법이며, 바람직하게는
상기 제2 세트는, 상기 기판에 대하여 탄소 함유 가스를 공급하는 공정을 더 포함한다.
예를 들어, 상기 탄소 함유 가스를 공급하는 공정을, 상기 질소 함유 가스를 공급하는 공정보다 먼저 행한다. 또한 예를 들어, 상기 탄소 함유 가스를 공급하는 공정을, 상기 질소 및 탄소를 포함하는 가스를 공급하는 공정과 동시 또는 비동시로 행한다. 또한 예를 들어, 상기 탄소 함유 가스를 공급하는 공정을, 상기 보라진환 골격을 포함하는 가스를 공급하는 공정과 동시 또는 비동시로 행한다. 상기 탄소 함유 가스로서는, 예를 들어 탄화수소계 가스를 사용할 수 있다.
(부기 7)
부기 1 내지 6 중 어느 한 항에 기재된 방법이며, 바람직하게는
상기 제1 막 및 상기 제2 막의 막 두께를, 각각 0.1nm 이상 5nm 이하, 바람직하게는 0.1nm 이상 1nm 이하의 막 두께로 한다.
(부기 8)
부기 1 내지 7 중 어느 한 항에 기재된 방법이며, 바람직하게는
상기 제1 세트 및 상기 제2 세트의 실시 횟수를, 각각 1회 이상 50회 이하, 바람직하게는 1회 이상 10회 이하의 횟수로 한다.
(부기 9)
부기 1 내지 8 중 어느 한 항에 기재된 방법이며, 바람직하게는
상기 적층막은, 상기 제1 막과 상기 제2 막이 나노 레벨로 교대로 적층되어 이루어지는 적층막(나노 라미네이트막)이다.
(부기 10)
부기 1 내지 9 중 어느 한 항에 기재된 방법이며, 바람직하게는
상기 사이클을 소정 횟수 행할 때, 상기 제1 막의 형성을 상기 제2 막의 형성보다 먼저 행한다. 즉, 상기 제2 막을 형성하기 전에, 그 형성의 하지로서 상기 제1 막을 먼저 형성한다. 그리고, 먼저 형성한 상기 제1 막의 위에 상기 제2 막을 형성한다. 즉, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막의 최하부를, 상기 제1 막에 의해 구성한다.
(부기 11)
부기 1 내지 10 중 어느 한 항에 기재된 방법이며, 바람직하게는
상기 사이클을 소정 횟수 행할 때, 상기 제1 막의 형성을 마지막으로 행한다. 즉, 제2 막을 형성하면, 그 표면을 제1 막으로 덮는다. 즉, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막의 최상부를, 상기 제1 막에 의해 구성한다.
(부기 12)
본 발명의 또 다른 형태에 의하면,
기판을 수용하는 처리실과,
상기 처리실 내의 기판에 대하여 소정 원소를 포함하는 원료 가스를 공급하는 제1 가스 공급계와,
상기 처리실 내의 기판에 대하여 보라진환 골격 비함유의 붕소 함유 가스를 공급하는 제2 가스 공급계와,
상기 처리실 내의 기판에 대하여 보라진환 골격을 포함하는 가스를 공급하는 제3 가스 공급계와,
상기 처리실 내의 기판에 대하여 질소 함유 가스를 공급하는 제4 가스 공급계와,
상기 처리실 내의 기판을 가열하는 히터와,
상기 처리실 내의 압력을 조정하는 압력 조정부와,
적어도 상기 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 처리와, 적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막(적어도 상기 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격을 함유하는 막)을 형성하는 처리를 포함하는 사이클을 소정 횟수(n회) 행함으로써, 상기 처리실 내의 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 처리를 행하도록, 상기 제1 가스 공급계, 상기 제2 가스 공급계, 상기 제3 가스 공급계, 상기 제4 가스 공급계, 상기 히터 및 상기 압력 조정부를 제어하도록 구성되는 제어부,
를 갖는 기판 처리 장치가 제공된다.
(부기 13)
본 발명의 또 다른 형태에 의하면,
적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 수순과,
적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막(적어도 상기 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격을 함유하는 막)을 형성하는 수순,
을 포함하는 사이클을 소정 횟수(n회) 행함으로써, 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 수순을 컴퓨터에 실행시키는 프로그램 및 해당 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러 200 : 웨이퍼
201 : 처리실 202 : 처리로
203 : 반응관 207 : 히터
231 : 배기관 232a 내지 232j : 가스 공급관

Claims (12)

  1. 적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 공정과,
    적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 공정
    을 포함하는 사이클을 제1 소정 횟수 행함으로써, 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 공정을 갖는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 제1 막을 형성하는 공정에서는,
    상기 기판에 대하여 상기 소정 원소를 포함하는 제1 원료 가스를 공급하는 공정과,
    상기 기판에 대하여 보라진환 골격 비함유의 붕소 함유 가스를 공급하는 공정과,
    상기 기판에 대하여 질소 함유 가스, 또는, 질소 및 탄소를 포함하는 가스를 공급하는 공정
    을 포함하는 제1 세트를 제2 소정 횟수 행하는, 반도체 장치의 제조 방법.
  3. 제2항에 있어서,
    상기 제1 세트는, 상기 기판에 대하여 탄소 함유 가스를 공급하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  4. 제2항에 있어서,
    상기 제2 막을 형성하는 공정에서는,
    상기 기판에 대하여 상기 소정 원소를 포함하는 제2 원료 가스를 공급하는 공정과,
    상기 기판에 대하여 보라진환 골격을 포함하는 가스를 공급하는 공정
    을 포함하는 제2 세트를, 상기 보라진환 골격을 포함하는 가스에서의 보라진환 골격이 유지되는 조건 하에서 제3 소정 횟수 행하는, 반도체 장치의 제조 방법.
  5. 제4항에 있어서,
    상기 제2 세트는, 상기 기판에 대하여 질소 함유 가스, 또는, 질소 및 탄소를 포함하는 가스를 공급하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  6. 제4항에 있어서,
    상기 제2 세트는, 상기 기판에 대하여 탄소 함유 가스를 공급하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 제1 막 및 상기 제2 막의 막 두께를, 각각 0.1nm 이상 5nm 이하로 하는, 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 적층막은, 상기 제1 막과 상기 제2 막이 나노 레벨로 교대로 적층되어 이루어지는 나노 라미네이트막인, 반도체 장치의 제조 방법.
  9. 제1항에 있어서,
    상기 사이클을 소정 횟수 행할 때, 상기 제1 막의 형성을 최초로 행하는, 반도체 장치의 제조 방법.
  10. 제1항에 있어서,
    상기 사이클을 소정 횟수 행할 때, 상기 제1 막의 형성을 마지막으로 행하는, 반도체 장치의 제조 방법.
  11. 기판을 수용하는 처리실과,
    상기 처리실 내의 기판에 대하여 소정 원소를 포함하는 원료 가스를 공급하는 제1 가스 공급계와,
    상기 처리실 내의 기판에 대하여 보라진환 골격 비함유의 붕소 함유 가스를 공급하는 제2 가스 공급계와,
    상기 처리실 내의 기판에 대하여 보라진환 골격을 포함하는 가스를 공급하는 제3 가스 공급계와,
    상기 처리실 내의 기판에 대하여 질소 함유 가스를 공급하는 제4 가스 공급계와,
    상기 처리실 내의 기판을 가열하는 히터와,
    상기 처리실 내의 압력을 조정하는 압력 조정부와,
    적어도 상기 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 처리와, 적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 처리를 포함하는 사이클을 소정 횟수 행함으로써, 상기 처리실 내의 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 처리를 행하도록, 상기 제1 가스 공급계, 상기 제2 가스 공급계, 상기 제3 가스 공급계, 상기 제4 가스 공급계, 상기 히터 및 상기 압력 조정부를 제어하도록 구성되는 제어부
    를 갖는 기판 처리 장치.
  12. 적어도 소정 원소, 붕소 및 질소를 포함하고, 보라진환 골격 비함유의 제1 막을 형성하는 수순과,
    적어도 상기 소정 원소 및 보라진환 골격을 포함하는 제2 막을 형성하는 수순
    을 포함하는 사이클을 소정 횟수 행함으로써, 기판 위에, 상기 제1 막과 상기 제2 막이 적층되어 이루어지는 적층막을 형성하는 수순을 컴퓨터에 실행시키는, 기록매체에 저장된 프로그램.
KR1020140189424A 2013-12-27 2014-12-26 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 KR101639490B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013271388A JP6247095B2 (ja) 2013-12-27 2013-12-27 半導体装置の製造方法、基板処理装置およびプログラム
JPJP-P-2013-271388 2013-12-27

Publications (2)

Publication Number Publication Date
KR20150077357A true KR20150077357A (ko) 2015-07-07
KR101639490B1 KR101639490B1 (ko) 2016-07-13

Family

ID=53482614

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140189424A KR101639490B1 (ko) 2013-12-27 2014-12-26 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Country Status (3)

Country Link
US (1) US9281181B2 (ko)
JP (1) JP6247095B2 (ko)
KR (1) KR101639490B1 (ko)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320129B2 (ja) * 2014-04-02 2018-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) * 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004136661A (ja) * 2002-09-26 2004-05-13 Hitachi Chem Co Ltd 絶縁性被膜
JP2011192720A (ja) * 2010-03-12 2011-09-29 Mitsubishi Heavy Ind Ltd 半導体装置用絶縁膜の製造方法、半導体装置の製造方法
KR20120093139A (ko) * 2009-06-22 2012-08-22 어플라이드 머티어리얼스, 인코포레이티드 붕소 막 인터페이스 엔지니어링
KR20130118770A (ko) * 2012-04-20 2013-10-30 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0149044B1 (en) * 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
JP2007324536A (ja) * 2006-06-05 2007-12-13 Renesas Technology Corp 層間絶縁膜およびその製造方法、ならびに半導体装置
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2013027549A1 (ja) * 2011-08-25 2013-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9029228B2 (en) * 2011-10-19 2015-05-12 SunEdision Semiconductor Limited (UEN201334164H) Direct and sequential formation of monolayers of boron nitride and graphene on substrates
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6111097B2 (ja) * 2013-03-12 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6111106B2 (ja) * 2013-03-19 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) * 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5855691B2 (ja) * 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004136661A (ja) * 2002-09-26 2004-05-13 Hitachi Chem Co Ltd 絶縁性被膜
KR20120093139A (ko) * 2009-06-22 2012-08-22 어플라이드 머티어리얼스, 인코포레이티드 붕소 막 인터페이스 엔지니어링
JP2011192720A (ja) * 2010-03-12 2011-09-29 Mitsubishi Heavy Ind Ltd 半導体装置用絶縁膜の製造方法、半導体装置の製造方法
KR20130118770A (ko) * 2012-04-20 2013-10-30 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Also Published As

Publication number Publication date
KR101639490B1 (ko) 2016-07-13
US9281181B2 (en) 2016-03-08
JP2015126177A (ja) 2015-07-06
US20150187559A1 (en) 2015-07-02
JP6247095B2 (ja) 2017-12-13

Similar Documents

Publication Publication Date Title
KR101639490B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101680940B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101611680B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101657874B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101977522B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101497231B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101493389B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101611679B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101624452B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101549778B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101549777B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR20130107232A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101476550B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101398334B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP2015103729A (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190619

Year of fee payment: 4