KR20130126709A - 투과성 기판상의 얇은 물질 층 증착 - Google Patents

투과성 기판상의 얇은 물질 층 증착 Download PDF

Info

Publication number
KR20130126709A
KR20130126709A KR1020137024267A KR20137024267A KR20130126709A KR 20130126709 A KR20130126709 A KR 20130126709A KR 1020137024267 A KR1020137024267 A KR 1020137024267A KR 20137024267 A KR20137024267 A KR 20137024267A KR 20130126709 A KR20130126709 A KR 20130126709A
Authority
KR
South Korea
Prior art keywords
precursor
reactor
substrate
transparent substrate
radical
Prior art date
Application number
KR1020137024267A
Other languages
English (en)
Inventor
이상인
Original Assignee
시너스 테크놀리지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시너스 테크놀리지, 인코포레이티드 filed Critical 시너스 테크놀리지, 인코포레이티드
Publication of KR20130126709A publication Critical patent/KR20130126709A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/64Carriers or collectors
    • H01M4/82Multi-step processes for manufacturing carriers for lead-acid accumulators
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

실시예들은 투과성 기판(permeable substrate)을 반응기들의 세트 사이로 통과시킴으로써 투과성 기판상에 물질 층을 증착하는 것에 대한 것이다. 반응기들은, 투과성 기판이 반응기들 사이를 통과하는 동안 원료 전구체(source precursor), 반응 전구체(reactant precursor), 퍼지(purge) 기체 또는 이들의 조합을 투과성 기판상에 주입할 수 있다. 반응기에 의해 주입된 기체의 일부는 투과성 기판을 통과하여 다른 반응기에 의해 배출된다. 반응기에 의해 주입된 남아 있는 기체는 투과성 기판의 표면에 평행하게 이동하여 동일한 반응기에 형성된 배기부를 통하여 배출된다.

Description

투과성 기판상의 얇은 물질 층 증착{DEPOSITING THIN LAYER OF MATERIAL ON PERMEABLE SUBSTRATE}
본 명세서는 투과성 기판(permeable substrate)상에 전구체(precursor)를 주입함으로써 투과성 기판상에 하나 이상의 물질 층을 증착하는 것에 대한 것이다.
막(membrane) 또는 직조물(fabric)과 같은 투과성 기판(permeable substrate)은 다양하게 응용될 수 있다. 투과성 기판에는 다양한 특성을 변화시키거나 증진하기 위한 물질이 증착될 수 있다. 예를 들어, 몇몇 응용예에서는 투과성 기판이 높은 용융점 및 높은 강도를 가질 것이 요구된다. 요구되는 특성을 얻기 위하여, 투과성 기판에 투과성 기판보다 높은 용융점 및 강도를 갖는 물질이 증착될 수 있다.
투과성 기판의 응용예로는, 이를 충전지(예컨대, 리튬-이온 배터리)의 분리막(separator)으로 사용하는 것이 있다. 이러한 분리막은 종종 다공성 폴리에틸렌(polyethylene) 막상에 파우더(powder)를 증착함으로써 형성된다. 폴리에틸렌 막은 일반적으로 약 25 ㎛ 이하의 두께, 1 ㎛ 미만의 기공(pore) 크기 및 약 40% 이하의 공극율(porosity)을 갖는다. 폴리에틸렌 막상에 파우더(예컨대, Al2O3)를 증착함으로써, 폴리에틸렌 막이 높은 온도에서도 형상을 유지할 수 있다. 코팅이 부족하여 폴리에틸렌 막이 너무 일찍 용융되는 것을 방지하기 위하여, 폴리에틸렌 막상에 파우더가 상당한 두께로 코팅된다. 막의 두께로 인하여, 충전지의 패킹 밀도(packing density)가 감소된다(즉, 전지 크기가 증가된다).
화장용 티슈(facial tissue) 또는 기저귀와 같은 다른 응용예에서는, 높은 강도 및 용융점에 더하여 내수성(water resistant)이 요구된다. 이러한 특성은 Al2O3 또는 TiO2와 같은 산화물, SiN과 같은 질화물 및 그래핀(graphene)과 같은 탄소 물질을 종이상에 수십 옹스트롬(anstrom) 또는 수백 옹스트롬 범위의 두께로 증착함으로써 얻어질 수 있다.
기판상에 물질을 증착하는 데에 관련된 비용 및 시간은 상당할 수 있고, 이는 투과성 기판의 제작에 관련된 전반적인 비용 및 시간을 증가시킨다. 나아가, 증착된 물질의 품질이 필요한 것보다 낮을 수 있으며, 이는 제품의 품질을 저하시키거나 제품 내에 필요한 투과성 기판의 양을 증가시킨다.
투과성 기판(permeable substrate)상에 전구체(precursor)를 주입함으로써 투과성 기판상에 하나 이상의 물질 층을 증착한다.
실시예들은 서로 대향하는 두 반응기를 포함하는 증착 장치를 이용하여 투과성 기판(permeable substrate)상에 물질 층을 증착하는 것에 대한 것이다. 일 반응기는 투과성 기판의 일 표면과 대향하며 투과성 기판의 표면상에 전구체(precursor)를 주입한다. 다른 반응기는 투과성 기판의 또 다른 표면과 대향하며 투과성 기판의 다른 표면상에 동일 또는 상이한 전구체를 주입한다. 제1 반응기 또는 제2 반응기에 의해 주입된 전구체의 적어도 일부는, 투과성 기판을 통과하여 제2 반응기 또는 제1 반응기에 의하여 배출된다.
일 실시예에서, 증착 장치는 투과성 기판과 제1 및 제2 반응기 사이의 상대적인 이동을 야기하는 메커니즘을 더 포함한다.
일 실시예에서, 반응기는 표면상에 전구체를 주입하도록 구성된 제1 주입기를 포함하며, 다른 반응기는 투과성 기판의 다른 표면상에 또 다른 유형의 전구체를 주입하도록 구성된 제2 주입기를 포함한다. 제1 및 제2 주입기 각각은 투과성 기판과 대향하는 반응 챔버가 형성된 몸체를 포함한다.
일 실시예에서, 몸체에는 전구체의 과잉 부분을 배출하기 위한 배기부, 및 배기부와 반응 챔버를 연결하는 협착(constriction) 영역이 더 형성된다. 협착 영역의 높이는 반응 챔버의 2/3 미만일 수 있다.
일 실시예에서, 반응기는 투과성 기판의 표면상에 전구체를 주입하도록 구성된 제3 주입기를 더 포함한다. 다른 반응기는, 투과성 기판의 다른 표면상에 동일 또는 상이한 주입기를 주입하도록 구성된 제4 주입기를 더 포함한다.
일 실시예에서, 상기 장치는 전구체를 주입함으로써 원자층 증착(atomic layer deposition; ALD) 또는 분자층 증착(molecular layer deposition; MLD)을 수행한다.
실시예들은 또한 투과성 기판 상에 물질을 증착하는 방법에 대한 것이다. 투과성 기판의 표면과 대항하는 제1 반응기에 의해, 제1 전구체가 투과성 기판의 표면상에 주입된다. 투과성 기판의 또 다른 표면과 대향하는 제2 반응기에 의해, 투과성 기판의 다른 표면상에 제2 전구체가 주입된다. 투과성 기판을 통과한 제1 전구체의 적어도 일부는 제2 반응기에 의하여 배출된다.
투과성 기판(permeable substrate)을 반응기들의 세트 사이로 통과시킴으로써 투과성 기판상에 물질 층을 증착할 수 있다.
도 1은 일 실시예에 따른 증착 장치의 사시도이다.
도 2는, 일 실시예에 따른, 도 1의 증착 장치의 A-B를 잇는 직선을 따른 단면도이다.
도 3은, 일 실시예에 따른, 도 1의 증착 장치를 반으로 자른 사시도이다.
도 4는 일 실시예에 따라 원료 주입기의 아래에서 전구체(precursor) 물질의 흐름을 나타내는 도면이다.
도 5a는, 일 실시예에 따른, 라디칼(radical) 반응기를 포함하는 증착 장치의 단면도이다.
도 5b는, 또 다른 실시예에 따른, 라디칼 반응기를 포함하는 증착 장치의 단면도이다.
도 6은 일 실시예에 따라 증착을 수행하는 공정을 나타내는 순서도이다.
본 명세서에서 실시예들은 첨부된 도면들을 참조하여 설명된다. 그러나, 여기서 개시된 원칙들은 많은 다른 형태로 구현될 수 있고, 여기서 기술된 실시 예에 한정되는 것으로 이해되지 않아야 한다. 본 명세서에서, 실시 예의 특징들을 필요이상으로 모호하게 하는 것을 피하기 위해 잘 알려진 특징들 및 기술들에 대한 상세한 설명은 생략될 수 있다.
도면들에서, 도면들에 있는 유사한 참조 번호들은 유사한 구성 요소를 나타낸다. 도면의 모양, 크기 및 영역, 그리고 유사한 것들은 명확성을 위해 과장될 수 있다.
실시예들은 투과성 기판(permeable substrate)을 반응기들의 세트 사이로 통과시킴으로써 투과성 기판상에 물질 층을 증착하는 것에 대한 것이다. 반응기들은, 투과성 기판이 반응기들 사이를 통과하는 동안 원료 전구체(source precursor), 반응 전구체(reactant precursor), 퍼지(purge) 기체 또는 이들의 조합을 투과성 기판상에 주입할 수 있다. 반응기에 의해 주입된 기체의 일부는 투과성 기판을 통과하여 다른 반응기에 의해 배출된다. 반응기에 의해 주입된 남아 있는 기체는 투과성 기판의 표면에 평행하게 이동하여 동일한 반응기에 형성된 배기부를 통하여 배출된다. 기판을 통과하거나 표면에 평행하게 이동하는 동안, 원료 전구체 또는 반응 전구체가 기판상에 흡착되거나 기판상에 사전에 존재하는 전구체와 반응한다.
본 명세서에서 기술되는 투과성 기판은, 평탄한 구조를 가지며 적어도 기판의 일 측면에 주입된 기체 또는 액체의 적어도 일부가 기판의 다른 측면으로 통과할 수 있는 기판을 지칭한다. 투과성 기판에는, 직물(textile), 막(membrane), 직조물(fabric) 및 망(web)이 포함되나 이에 한정되는 것은 아니다. 투과성 기판은 종이, 폴리에틸렌(polyethylene), 다공질 금속(porous metal), 모직(wool), 면(cotton) 및 아마(flax)를 포함하나 이에 한정되지 않는 다양한 물질로 이루어질 수 있다.
도 1은 일 실시예에 따른 증착 장치(100)의 사시도이다. 증착 장치(100)는, 다른 구성 요소 중, 상부 반응기(130A) 및 하부 반응기(130B)를 포함할 수 있다. 투과성 기판(120)은 (화살표(114)로 표시된 것과 같이) 좌측으로부터 우측으로 이동하여, 상부 및 하부 반응기(130A, 130B) 사이를 통과하고, 투과성 기판(120)에는 물질 층(140)이 증착된다. 전체 증착 장치(100)는 진공 또는 가압된 용기(pressurized vessel)로 에워싸일 수 있다. 증착 장치(100)는 기판이 수평 이동함에 따라 기판(120)상에 물질을 증착하는 것으로 도시되나, 증착 장치(100)는 기판(120)이 수직 또는 상이한 방향으로 이동함에 따라 층(140)이 증착되는 방향으로 배치될 수도 있다.
상부 반응기(130A)는 전구체, 퍼지 기체 및 이들의 조합을 상부 반응기(130A) 내로 공급하는 파이프(142A, 146A, 148A)에 연결된다. 배기 파이프(152A, 154A) 또한 과잉 전구체 및 퍼지 기체를 상부 반응기(130A) 내부로부터 배출하기 위하여 연결된다. 상부 반응기(130A)의 하부 표면은 기판(120)과 대향한다.
하부 반응기(130B) 또한 전구체, 퍼지 기체 및 이들의 조합을 받기 위하여 파이프(142B, 146B, 148B)에 연결된다. 배기 파이프(예컨대, 파이프(154B)) 또한 과잉 전구체 및 퍼지 기체를 하부 반응기(130B) 내부로부터 배출하기 위해 하부 반응기(130B)에 연결된다. 하부 반응기(130B)의 상부 표면은 기판(120)과 대향한다.
증착 장치는, 기판(120)이 상부 반응기(130A)의 하부 표면과 하부 반응기(130B)의 상부 표면 사이를 좌측으로부터 우측으로 이동함에 따라 기판(120)상에 원자층 증착(atomic layer deposition; ALD), 분자층 증착(molecular layer deposition; MLD) 또는 화학 기상 증착(chemical vapor deposition; CVD)을 수행할 수 있다. ALD는 기판상에 원료 전구체(source precursor)를 주입하고 이후 반응 전구체(reactant precursor)를 주입함으로써 수행된다. MLD는 기판상에 하이브리드 폴리머(hybrid polymer)가 형성되는 점을 제외하면 실질적으로 ALD와 동일하다. CVD에서는, 원료 전구체 및 반응 전구체가 기판(120)상에 주입되기 전에 혼합된다. 증착 장치(100)는 반응기(130A, 130B)에 공급된 기체 및 다른 동작 조건에 기초하여 ALD, MLD 또는 CVD 중 하나 이상을 수행할 수 있다.
도 2는, 일 실시예에 따른, 도 1의 A-B를 잇는 직선을 따른 증착 장치(100)의 단면도이다. 상부 반응기(130A)는, 다른 구성 요소 중, 원료 주입기(202) 및 반응제 주입기(204)를 포함할 수 있다. 원료 주입기(202)는 원료 전구체를 (아르곤과 같은 캐리어(carrier) 기체와 함께) 받기 위해 파이프(142A)에 연결되고, 반응제 주입기(204)는 반응 전구체를 (아르곤과 같은 캐리어 기체와 함께) 받기 위해 파이프(148A)에 연결된다. 캐리어 기체는 별도의 파이프(예컨대, 파이프(146A))를 통해 주입되거나, 또는 원료 또는 반응 전구체를 공급하는 파이프를 통하여 주입될 수 있다.
원료 주입기(202)의 몸체(210)에는 채널(242), 천공(perforation)(예컨대, 홀(hole) 또는 슬릿(slit))(244), 반응 챔버(234), 협착 영역(260) 및 배기부(262)가 형성된다. 원료 전구체는 채널(242) 및 천공(244)을 통해 반응 챔버(234) 내로 흐르고, 투과성 기판(120)과 반응한다. 원료 전구체의 일부는 기판(120)을 통과하여 하부 반응기(130B)에 형성된 배기부(268)를 통해 배출된다. 남아 있는 원료 전구체는 기판(120)의 표면과 평행하게 협착 영역(260)을 통해 흘러 배기부(262)로 배출된다. 배기부는 파이프(152A)에 연결되며 과잉 원료 전구체를 주입기(202) 밖으로 배출한다.
원료 전구체가 협착 영역(260)을 통해 흐를 때, 협착 영역(260) 내의 원료 전구체의 빠른 속도로 인하여 과잉 원료 전구체가 기판(120)의 표면으로부터 제거된다. 일 실시예에서, 협착 영역(260)의 높이(M)는 반응 챔버(234)의 높이(Z)의 2/3 미만이다. 원료 전구체를 기판(120)의 표면으로부터 제거하기 위해 이러한 높이(M)가 바람직하다.
반응제 주입기(204)는 원료 주입기(202)와 유사한 구조를 갖는다. 반응제 주입기(204)는 반응 전구체를 받고 반응 전구체를 기판(120)상에 주입한다. 원료 주입기(204)는, 채널(246), 천공(248), 반응 챔버(236), 협착 영역(264) 및 배기부(266)가 형성된 몸체(214)를 갖는다. 반응제 주입기(204)의 이들 부분의 기능 및 구조는 원료 주입기(202)의 대응하는 부분과 실질적으로 동일하다. 배기부(266)는 파이프(154B)에 연결된다.
하부 반응기(130B)는 상부 반응기(130A)와 유사한 구조를 갖되 상부 표면이 상부 반응기(130A)와 반대 방향을 향한다. 하부 반응기(130B)는 원료 주입기(206) 및 반응제 주입기(208)를 포함할 수 있다. 원료 주입기(206)는 파이프(142B)를 통해 원료 전구체를 받고 원료 전구체를 기판(120)의 후면상에 주입한다. 원료 전구체의 일부는 기판(120)을 통과하여 배기부(262)를 통해 배출된다. 남아 있는 원료 전구체는 기판(120)의 표면과 평행하게 배기부(268) 내로 흘러 원료 주입기로부터 배출된다.
반응제 주입기(208)의 구조는 반응제 주입기(204)와 실질적으로 동일하므로, 설명의 간소화를 위하여 상세한 설명은 생략한다.
증착 장치(100)는 또한 기판(120)을 이동시키기 위한 메커니즘(280)을 포함할 수 있다. 메커니즘(280)은 기판(120)을 도 2에 도시된 우측 방향으로 밀거나 당기는 모터(motor) 또는 구동기(actuator)를 포함할 수 있다. 기판(120)이 점진적으로 우측으로 이동함에 따라, 기판(120)의 실질적으로 전체 표면이 원료 전구체 및 반응 전구체에 노출되어, 그 결과 기판(120)상에 물질이 증착된다.
마주보는 반응기들의 세트에 의해, 원료 전구체 및 반응 전구체가 기판(120)의 표면과 수직한 방향 및 기판(120)의 표면과 평행한 방향으로 흐르게 된다. 따라서, 기판(120)에서 평평한 표면뿐만 아니라 기공(pore) 또는 홀(hole)에도 균일한(conformal) 물질 층이 증착된다. 그러므로, 기판(120)상에 물질이 보다 고르고 완전하게 증착된다.
증착 장치로부터 새어나가는 전구체를 줄이기 위해, 기판(120)과 상부/하부 반응기(130A, 130B) 사이의 거리(H)는 작게 유지된다. 일 실시예에서, 상기 거리(H)는 1mm 미만이며, 보다 바람직하게는 수십 ㎛ 미만이다.
도 3은, 일 실시예에 따른, 도 1의 증착 장치(100)를 반으로 자른 사시도이다. 도 3에 도시되는 것과 같이, 배기부(262, 266, 268, 272)는 굴곡진 내부 표면을 가져 과잉 원료 전구체 및 과잉 반응 전구체가 증착 장치(100)의 실질적으로 전체 길이에 걸쳐 수용된다. 상부 반응기(130A) 및 하부 반응기(130B)는 거리(G)만큼 이격된다. 거리(G)는 기판(120)이 통과할 수 있을 정도로 충분하나, 전구체가 기판(120)과 반응기(130A, 130B) 사이의 간격 사이로 새어날 정도로 과도하게 크지는 않다.
도 4는 일 실시예에 따른 원료 주입기(202) 아래의 원료 전구체의 흐름을 나타내는 도면이다. 원료 전구체는 화살표(410, 412)로 도시된 것과 같이 천공(244)에 의해 아래로 주입된다. 원료 전구체의 일부는 화살표(410)로 도시된 것과 같이 기판(120)의 상부 표면을 따라 평행 이동하여, 화살표(420)로 도시된 것과 같이 배기부(262)를 통해 배출된다. 나머지 원료 전구체는 화살표(412)로 도시된 것과 같이 아래로 흘러, 기판(120)을 통과하고 원료 주입기(206)의 배기부(268)를 통해 아래로 흐른다. 도 4에 도시된 것과 같이, 주입된 원료 전구체는 부분적으로 기판을 통과하는 한편 나머지 원료 전구체는 기판(120)을 따라 흐른다. 이 방법에 의해, 전체 기판(120)에 원료 주입기가 흡착된다. 도시하지는 않았으나, 전구체 주입기 또한 기판(120)을 통해 흐르거나 기판(120)의 표면을 따라 흐른다.
일 실시예에서, 트리메틸알루미늄(trimethylaluminum; TMA)이 원료 전구체로 사용되며 O3가 반응 전구체로 사용되어 기판(120)상에 Al2O3를 증착한다. 다른 실시예에서, TMA가 원료 전구체로 사용되며 NH3가 반응 전구체로 사용되어 기판(120)상에 AlN을 증착한다. 기판(120)상에 상이한 물질을 증착하기 위한 다양한 다른 원료 전구체 및 반응 전구체의 조합이 사용될 수 있다.
일 실시예에서, 퍼지 기체(예컨대, 아르곤 기체)를 주입하기 위한 퍼지 주입기가 원료 주입기 및 반응제 주입기 사이에 제공된다. 퍼지 주입기는 과잉 원료 전구체를 기판으로부터 제거하고 기판의 표면 및 기판의 기공상에 균일하게 층이 증착되도록 한다. 퍼지 주입기는 또한 반응제 주입기 옆에 제공되어 과잉 반응 전구체를 기판으로부터 제거할 수도 있다.
일 실시예에서, 상부 및 하부 반응기에 라디칼(radical) 반응기가 제공되어 기체 라디칼을 반응 전구체로서 기판상에 주입할 수 있다. 도 5a는 일 실시예에 따라 라디칼 반응기(504, 508A)를 포함하는 증착 장치(500)의 단면도이다. 증착 장치(500)는 주입기(204, 208)가 라디칼 반응기(504, 508A)로 대체된 점을 제외하면 증착 장치(100)와 실질적으로 동일하다.
증착 장치(500)는 원료 주입기(502, 506A) 및 라디칼 반응기(504, 508A)를 포함한다. 원료 주입기(502, 506A)의 구조 및 기능은 원료 주입기(202, 206)와 동일하므로, 설명의 간소화를 위하여 자세한 설명은 생략한다. 투과성 기판(120)은 도 5a에 화살표(511)로 도시된 것과 같이 좌측으로부터 우측으로 이동하여, 투과성 기판(120)은 먼저 (원료 주입기(502, 506A에 의한) 원료 전구체에 노출되고 이후 (라디칼 반응기(504, 508A))에 의한 라디칼에 노출된다.
라디칼 반응기(504)는, 다른 구성 요소 중, 내부 전극(514) 및 몸체(520)를 포함할 수 있다. 몸체(520)에는, 다른 구조 중, 채널(522), 천공(예컨대, 홀 또는 슬릿(518), 플라즈마 챔버(512), 주입구(526), 반응 챔버(5240 및 배기부(532)가 형성될 수 있다. 플라즈마 챔버(512)에는 채널(522) 및 천공(518)을 통하여 기체가 공급된다. 라디칼 반응기(504)의 내부 전극(514) 및 몸체(520) 사이에 전압차가 인가되어, 플라즈마 챔버(512) 내에 플라즈마를 생성한다. 라디칼 반응기(504)의 몸체(520)는 외부 전극의 기능을 한다. 다른 실시예에서는, 몸체(520)와 별개로 플라즈마 챔버(512)를 에워싸도록 외부 전극이 제공될 수도 있다. 플라즈마를 생성한 결과, 기체의 라디칼이 플라즈마 챔버(512) 내에 형성되며 주입구(526)를 통해 반응 챔버(524) 내로 주입된다.
도 4를 참조하여 전술한 것과 같이, 라디칼 반응기(504, 508A)에 의하여 생성된 라디칼의 일부는 기판을 통과하여 반대 편 라디칼 반응기에 제공된 배기부에 의해 배출된다. 다른 라디칼은 기판(120)의 표면과 평행하게 흘러 라디칼을 생성한 라디칼 반응기의 배기부에 의해 배출된다.
도 5b는 또 다른 실시예에 따라 라디칼 반응기(520, 508B)를 포함하는 증착 장치(501)의 단면도이다. 증착 장치(501)는 원료 주입기(506B) 및 라디칼 주입기(508B)의 방향이 증착 장치(500)의 대응 구성 요소와 반대인 점을 제외하면 증착 장치(500)와 실질적으로 동일하다.
일 실시예에서, 원료 주입기(502, 506A 또는 506B)에 의하여 주입되는 원료 전구체는 트리메틸알루미늄(trimethylaluminum; TMA)이며 라디칼 반응기(504, 508A 또는 508B)에 의하여 주입되는 반응 전구체는 O* 라디칼이다. 증착되는 물질은 Al2O3이며, 이는 투과성 기판에 내수성(water resistant)을 부여한다.
또 다른 실시예에서, 원료 주입기(502, 506A 또는 506B)에 의하여 주입되는 원료 전구체는 트리메틸알루미늄(trimethylaluminum; TMA)이며 라디칼 반응기(504, 508A 또는 508B)에 의하여 주입되는 반응 전구체는 O* 라디칼이다. 증착되는 물질은 AlN 또는 AlON이다.
또 다른 실시예에서, 공지된 원료 전구체 및 반응 전구체의 조합을 사용하여 기판상에 유전체 물질(예컨대, SiN) 또는 금속(예컨대, TiN) 층이 증착된다. SiN 또는 TiN 층은 바람직하게는 기판에 내수 또는 방수(water repellent) 특성을 부여한다.
또 다른 실시예에서, 공지된 원료 전구체 및 반응 전구체의 조합을 이용하여 투과성 기판상에 Ag 또는 AgO가 증착된다. Ag 또는 AgO 층은 기판에 항균(anti-microbial) 특성을 부여한다.
또 다른 실시예에서, 기판의 강도를 높이면서 기판에 상이한 기능을 부여하기 위하여 그래핀(graphene), 비정질 탄소, 다이아몬드상 탄소(diamond like carbon; DLC) 또는 이들의 조합이 기판상에 증착될 수 있다.
다른 실시예에서, 하이브리드 유기-무기층(예컨대, (Al-O-R-O)n 구조를 갖는 알루콘(alucon))이 친수성 기판상에 증착되어 방수 특성을 부여할 수 있다. 전도성 시트(sheet)를 제조하거나 전자 장치에서 정전기 쇼크로 인한 피해를 줄이기 위해, Al, Cu, TiN 또는 인듐주석산화물(Indium tin oxide; ITO)과 같은 도전 물질이 투과성 기판상에 증착될 수도 있다.
도 6은 일 실시예에 따라 투과성 기판상에 물질을 증착하는 공정의 순서도이다. 투과성 기판은 제1 반응기(예컨대, 상부 반응기(130A))와 제2 반응기(예컨대, 하부 반응기(130B)) 사이에 위치된다(602). 제1 반응기, 제2 반응기 또는 이들 양 반응기 모두는 기판(120)상에 원료 전구체를 주입한다(606). 기판(120)에 의해 흡착된 후 남아 있는 과잉 원료 전구체는 제1 반응기 및 제2 반응기에 의하여 배출된다(610). 제1 반응기, 제2 반응기 또는 양 반응기 모두는 과잉 원료 전구체를 기판(120)으로부터 배출하기 위한 퍼지 기체를 주입할 수도 있다.
이후, 원료 전구체가 이전에 주입된 기판(120) 부분을 제1 반응기, 제2 반응기 또는 양 반응기 모두에 의해 반응 전구체를 주입하기 위한 위치로 이동시키도록 기판(120)이 이동된다(614). 제1 반응기, 제2 반응기 또는 양 반응기 모두는 기판(120)상에 반응 전구체를 주입하여(618), 기판(120)의 표면 및 기판(120)의 기공 내에 물질 층을 증착한다.
제1 반응기, 제2 반응기 또는 양 반응기 모두는 또한 퍼지 기체를 주입하여 과잉 반응 전구체를 투과성 기판으로부터 배출할 수 있다(622).
602 내지 622의 공정은 미리 결정된 회수만큼 반복되어, 미리 결정된 두께의 물질 층을 증착할 수 있다.
이상의 실시예에서, 상부 및 하부 반응기는 기판상에 동일 물질을 증착한다. 그러나, 다른 실시예에서, 상부 반응기 및 하부 반응기 각각은 상이한 물질을 주입하여 기판의 양 표면상에 상이한 물질을 증착할 수도 있다.
하나 이상의 실시예에서, 물질이 증착된 기판은 자외선(UV ray)에 노출되거나, 전구체 분자에의 노출 동안 또는 노출 전후에 마이크로파 또는 자기장에 노출되는 등의 추가 공정을 거칠 수도 있다.
투과성 기판상에 물질을 증착하는 것은, 다른 이유 중에서도, (i) 공정이 저온(예컨대, 150℃ 미만)에서 수행될 수 있고, (ii) 증착된 물질이 기판과 강한 접착력을 가지며, (iii) 기판을 상이한 장치로 이동시키지 않고 다양한 공정(예컨대, 라디칼 표면 처리)이 인시추(in-situ)로 수행될 수 있는 이점이 있다.
본 명세서에서 기술한 실시예들을 이용하여 물질이 증착된 기판은 보다 높은 용융점을 갖거나 고온에서도 형상을 유지할 수 있다. 또한 실시예들에 의하면, 균일한 층을 갖는 기판이 얻어져, 높은 패킹 밀도(packing density)를 갖는 충전지의 분리막(separator)으로 기판을 사용할 수 있다. 나아가, 실시예들에 의하면 더 적은 전구체 물질을 사용하여 기판상에 물질을 증착할 수 있어, 생산 비용이 감소되는 결과가 된다.
비록 본 발명이 앞서 몇몇 실시 예들에 대해서 설명되었지만, 다양한 변경들이 본 발명의 범위 내에서 행해질 수 있다. 따라서, 본 발명의 개시된 내용은 예시적인 것이며, 발명의 범위를 한정하기 위한 것이 아닌 것으로 의도되고, 발명의 범위는 이후의 청구항들에서 제시된다.

Claims (20)

  1. 투과성 기판상에 물질을 증착하기 위한 증착 장치로서,
    상기 투과성 기판의 일 표면과 대향하며 상기 투과성 기판의 상기 표면상에 제1 전구체를 주입하도록 구성된 제1 반응기;
    상기 투과성 기판의 다른 표면과 대향하며 상기 투과성 기판의 상기 다른 표면상에 제2 전구체를 주입하도록 구성된 제2 반응기로서, 상기 제1 전구체의 적어도 일부는 상기 투과성 기판을 통과하여 상기 제2 반응기에 의해 배출되는, 상기 제2 반응기; 및
    상기 투과성 기판과 상기 제1 및 제2 반응기 사이의 상대적인 이동을 야기하는 메커니즘을 포함하는 것을 특징으로 하는 증착 장치.
  2. 제 1항에 있어서,
    상기 제1 반응기는 상기 표면상에 상기 제1 전구체를 주입하도록 구성된 제1 주입기를 포함하며,
    상기 제2 반응기는 상기 다른 표면상에 상기 제2 전구체를 주입하도록 구성된 제2 주입기를 포함하고,
    상기 제1 및 제2 주입기 각각은 상기 투과성 기판과 대향하는 반응 챔버가 형성된 몸체를 포함하는 것을 특징으로 하는 증착 장치.
  3. 제 2항에 있어서,
    상기 몸체에는, 배기부 및 상기 배기부와 상기 반응 챔버를 연결하는 협착 영역이 더 형성되며,
    상기 배기부는 상기 제1 전구체의 과잉 부분 또는 상기 제2 전구체의 과잉 부분을 배출하도록 구성된 것을 특징으로 하는 증착 장치.
  4. 제 3항에 있어서,
    상기 협착 영역의 높이는 상기 반응 챔버의 2/3 미만인 것을 특징으로 하는 증착 장치.
  5. 제 2항에 있어서,
    상기 제1 반응기는 상기 투과성 기판의 상기 표면상에 제3 전구체를 주입하도록 구성된 제3 주입기를 더 포함하며,
    상기 제2 주입기는 상기 투과성 기판의 상기 다른 표면상에 제4 전구체를 주입하도록 구성된 제4 주입기를 더 포함하는 것을 특징으로 하는 증착 장치.
  6. 제 5항에 있어서,
    상기 제1 전구체 및 상기 제2 전구체는 원자층 증착(ALD) 또는 분자층 증착(MLD)을 수행하기 위한 원료 전구체이며,
    상기 제3 전구체 및 상기 제4 전구체는 상기 ALD 또는 상기 MLD를 수행하기 위한 반응 전구체인 것을 특징으로 하는 증착 장치.
  7. 제 1항에 있어서,
    상기 제1 전구체 및 상기 제2 전구체는 동일한 물질인 것을 특징으로 하는 증착 장치.
  8. 제 2항에 있어서,
    상기 제1 반응기는 상기 표면상에 제1 라디칼을 주입하도록 구성된 제1 라디칼 반응기를 포함하며,
    상기 제2 반응기는 상기 다른 표면상에 제2 라디칼을 주입하도록 구성된 제2 라디칼 반응기를 포함하는 것을 특징으로 하는 증착 장치.
  9. 제 8항에 있어서,
    상기 제1 및 제2 라디칼 반응기 각각은, 라디칼 챔버 및 상기 라디칼 챔버 내에서 연장되는 전극이 형성된 몸체를 포함하며,
    상기 라디칼 챔버 내에 플라즈마를 생성하기 위해 상기 몸체 및 상기 전극 사이에 전압차가 인가되는 것을 특징으로 하는 증착 장치.
  10. 제 9항에 있어서,
    상기 몸체에는 상기 기판상에 상기 라디칼을 주입하기 위해 상기 라디칼 챔버에 연결된 하나 이상의 주입구가 형성된 것을 특징으로 하는 증착 장치.
  11. 투과성 기판의 표면과 대향하는 제1 반응기에 의해, 상기 투과성 기판의 상기 표면상에 제1 전구체를 주입하는 단계;
    상기 투과성 기판의 다른 표면과 대향하는 제2 반응기에 의해, 상기 투과성 기판의 상기 다른 표면상에 제2 전구체를 주입하는 단계;
    상기 투과성 기판을 통과한 상기 제1 전구체의 적어도 일부를 상기 제2 반응기에 의해 배출하는 단계; 및
    상기 투과성 기판과 상기 제1 및 제2 반응기 사이의 상대적인 이동을 야기하는 단계를 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  12. 제 11항에 있어서,
    상기 제1 반응기에 의해 상기 기판상에 주입된 후 남아 있는 상기 제1 전구체의 과잉 부분을 배출하는 단계; 및
    상기 제2 반응기에 의해 상기 기판상에 주입된 후 남아 있는 상기 제2 전구체의 과잉 부분을 배출하는 단계를 더 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  13. 제 11항에 있어서,
    상기 투과성 기판을 통과한 상기 제2 전구체의 적어도 일부를 상기 제1 반응기에 의해 더 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  14. 제 11항에 있어서,
    상기 제1 반응기에 의해 상기 투과성 기판의 상기 표면에 제3 전구체를 주입하는 단계; 및
    상기 제2 반응기에 의해 상기 투과성 기판의 상기 다른 표면에 제4 전구체를 주입하는 단계를 더 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  15. 제 14항에 있어서,
    상기 제1 전구체 및 상기 제2 전구체는 원자층 증착(ALD) 또는 분자층 증착(MLD)을 수행하기 위한 원료 전구체이며,
    상기 제3 전구체 및 상기 제4 전구체는 상기 ALD 또는 상기 MLD를 수행하기 위한 반응 전구체인 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  16. 제 14항에 있어서,
    상기 제1 전구체 및 상기 제2 전구체는 트리메틸알루미늄(TMA)을 포함하며, 상기 제3 전구체 및 상기 제4 전구체는 오존을 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  17. 제 11항에 있어서,
    상기 제1 전구체 및 상기 제2 전구체는 동일한 물질인 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  18. 제 11항에 있어서,
    상기 제1 반응기에 의해 상기 투과성 기판의 상기 표면에 제1 라디칼을 주입하는 단계; 및
    상기 제2 반응기에 의해 상기 투과성 기판의 상기 다른 표면에 제2 라디칼을 주입하는 단계를 더 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  19. 제 18항에 있어서,
    상기 제1 라디칼을 생성하기 위해, 상기 제1 반응기의 몸체와, 상기 제1 반응기에 형성된 라디칼 챔버를 가로질러 연장되는 전극 사이에 전압차를 인가하는 단계; 및
    상기 제2 라디칼을 생성하기 위해, 상기 제2 반응기의 몸체와, 상기 제2 반응기에 형성된 라디칼 챔버를 가로질러 연장되는 전극 사이에 전압차를 인가하는 단계를 더 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
  20. 제 11항에 있어서,
    상기 제1 전구체의 과잉 부분 또는 상기 제2 전구체의 과잉 부분을 상기 투과성 기판으로부터 제거하기 위해 퍼지 기체를 주입하는 단계를 더 포함하는 것을 특징으로 하는, 투과성 기판상에 물질을 증착하는 방법.
KR1020137024267A 2011-02-18 2012-02-15 투과성 기판상의 얇은 물질 층 증착 KR20130126709A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161444658P 2011-02-18 2011-02-18
US61/444,658 2011-02-18
US13/372,290 2012-02-13
US13/372,290 US20120213947A1 (en) 2011-02-18 2012-02-13 Depositing thin layer of material on permeable substrate
PCT/US2012/025297 WO2012112712A1 (en) 2011-02-18 2012-02-15 Depositing thin layer of material on permeable substrate

Publications (1)

Publication Number Publication Date
KR20130126709A true KR20130126709A (ko) 2013-11-20

Family

ID=46652962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137024267A KR20130126709A (ko) 2011-02-18 2012-02-15 투과성 기판상의 얇은 물질 층 증착

Country Status (4)

Country Link
US (1) US20120213947A1 (ko)
KR (1) KR20130126709A (ko)
TW (1) TWI480412B (ko)
WO (1) WO2012112712A1 (ko)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140008210A1 (en) * 2012-07-06 2014-01-09 Aviva Biosciences Corporation Methods and compositions for separating or enriching cells
CN103189543A (zh) * 2010-11-24 2013-07-03 思诺斯技术公司 用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20140037846A1 (en) * 2012-08-01 2014-02-06 Synos Technology, Inc. Enhancing deposition process by heating precursor
KR101969066B1 (ko) * 2012-08-23 2019-04-16 삼성디스플레이 주식회사 기상 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102218855B1 (ko) * 2017-07-12 2021-02-23 주식회사 엘지화학 다공성 기재의 표면 코팅 장치 및 방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214123B1 (en) * 1998-08-20 2001-04-10 Advanced Micro Devices, Inc. Chemical vapor deposition systems and methods for depositing films on semiconductor wafers
US7618680B2 (en) * 2005-05-31 2009-11-17 Massachusetts Institute Of Technology Oxidative chemical vapor deposition of electrically conductive and electrochromic polymers
CN1937175B (zh) * 2005-09-20 2012-10-03 中芯国际集成电路制造(上海)有限公司 用于半导体器件的使用大气压的材料原子层沉积的方法
ATE507320T1 (de) * 2006-03-26 2011-05-15 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
WO2007128946A1 (en) * 2006-05-02 2007-11-15 Dow Corning Ireland Limited Web sealing device
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US9202674B2 (en) * 2008-10-21 2015-12-01 Applied Materials, Inc. Plasma reactor with a ceiling electrode supply conduit having a succession of voltage drop elements
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP4575984B2 (ja) * 2009-02-12 2010-11-04 三井造船株式会社 原子層成長装置および薄膜形成方法
US8257799B2 (en) * 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110143019A1 (en) * 2009-12-14 2011-06-16 Amprius, Inc. Apparatus for Deposition on Two Sides of the Web

Also Published As

Publication number Publication date
WO2012112712A1 (en) 2012-08-23
TWI480412B (zh) 2015-04-11
TW201235504A (en) 2012-09-01
US20120213947A1 (en) 2012-08-23

Similar Documents

Publication Publication Date Title
KR20130126709A (ko) 투과성 기판상의 얇은 물질 층 증착
KR101529985B1 (ko) 원자층 증착을 이용한 투과성 기판상의 항균 특성 물질의 증착
US20140037853A1 (en) Depositing thin layer of material on permeable substrate
US20120021252A1 (en) Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
JP7393853B2 (ja) 耐熱性及びシャットダウン特性に優れた二次電池用セパレーター
WO2012071195A1 (en) Extended reactor assembly with multiple sections for performing atomic layer deposition on large substrate
FI123322B (fi) Menetelmä ja laitteisto plasman muodostamiseksi
US20120207948A1 (en) Atomic layer deposition using radicals of gas mixture
US20140366804A1 (en) Performing Atomic Layer Deposition on Large Substrate Using Scanning Reactors
KR20120056878A (ko) 곡면상에 박막을 형성하기 위한 기상증착 반응기
US20120114877A1 (en) Radical Reactor with Multiple Plasma Chambers
US20150096495A1 (en) Apparatus and method of atomic layer deposition
US20090137043A1 (en) Methods for modification of polymers, fibers and textile media
WO2012112795A1 (en) Enhanced deposition of layer on substrate using radicals
US9243322B2 (en) Methods for applying a coating to a substrate in rolled form
WO2013050741A1 (en) A method for producing a coating by atmospheric pressure plasma technology
KR20150135341A (ko) 적층체 및 가스 배리어 필름
JP2009535513A (ja) ウェブシール装置
JP2009535514A (ja) 流体交換システム
KR101381244B1 (ko) 소수성 고분자 박막의 표면 개질 방법 및 표면 개질된 소수성 고분자 박막
EP3653750A1 (en) Device and method for coating surface of porous substrate
WO2013142344A1 (en) Methods and apparatus for atmospheric pressure atomic layer deposition
KR102359664B1 (ko) 아토마이저 결합형 분말 처리 장치
KR20190080282A (ko) 플라즈마 표면처리에 의한 대면적 나노구조체 제조방법 및 장치
KR101887191B1 (ko) 롤투롤 원자층 증착장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application