KR20100126721A - 탄소-함유 종의 콜드 주입을 위한 기술 - Google Patents

탄소-함유 종의 콜드 주입을 위한 기술 Download PDF

Info

Publication number
KR20100126721A
KR20100126721A KR1020107019483A KR20107019483A KR20100126721A KR 20100126721 A KR20100126721 A KR 20100126721A KR 1020107019483 A KR1020107019483 A KR 1020107019483A KR 20107019483 A KR20107019483 A KR 20107019483A KR 20100126721 A KR20100126721 A KR 20100126721A
Authority
KR
South Korea
Prior art keywords
carbon
target material
containing species
predetermined temperature
species
Prior art date
Application number
KR1020107019483A
Other languages
English (en)
Inventor
크리스토퍼 알. 하템
안소니 레나우
개리 이. 딕커슨
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20100126721A publication Critical patent/KR20100126721A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26593Bombardment with radiation with high-energy radiation producing ion implantation at a temperature lower than room temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

탄소-함유 종의 콜드 주입을 위한 기술이 개시된다. 특정 실시예에서, 이 기술은, 미리 정한 온도로 타겟 재료를 냉각하는 냉각 장치, 및 변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 타겟 재료를 주입하기 위한 이온 주입기를 포함하는 이온 주입을 위한 장치로 실현될 수 있다.

Description

탄소-함유 종의 콜드 주입을 위한 기술{TECHNIQUES FOR COLD IMPLANTATION OF CARBON-CONTAINING SPECIES}
본 발명은 일반적으로는 이온 주입에 관한 것으로, 더욱 상세하게는 탄소-함유 종의 콜드(cold) 주입을 위한 기술에 관한 것이다.
이온 주입은 활성화된 이온들로 기판을 직접 충돌시켜 기판 내로 화학 종을 증착하는 공정이다. 반도체 제조에 있어서, 이온 주입기는 일차적으로 타겟 재료의 도전성 유형 및 수준을 변경하는 도핑 공정을 위해 사용된다. 집적 회로(IC) 기판 및 그것의 박막 구조에서 정밀한 도핑 프로파일이 종종 적합한 IC 성능에 매우 중요하다. 원하는 도핑 프로파일을 달성하기 위해, 하나 이상의 이온 종들이 서로 다른 도즈 및 서로 다른 에너지 수준으로 주입될 수 있다.
더욱이, 이온 주입은 현재 반도체 웨이퍼 내로 도전성-변경 불순물을 도입하기 위해 가장 일반적인 기술이다. 원하는 불순물 재료가 이온 소스에서 이온화되고, 생성된 이온이 가속되어 소정의 에너지를 갖는 이온빔을 형성하고, 이온빔이 반도체 웨이퍼의 표면으로 인도된다. 이온빔 내의 활성 이온들이 반도체 웨이퍼 내 반도체 재료의 벌크 내로 침투하고 반도체 재료의 결정 격자 내에 매립되어 원하는 도전성 영역을 형성한다.
이온 주입기(ion implanter)는 가스 또는 고체 재료를 잘 정의된 이온빔으로 변환하기 위한 이온 소스를 포함한다. 상기 이온빔은 원치않는 이온 종들을 제거하기 위해 보통 질량 분석되고, 원하는 에너지로 가속되어, 타겟(target)으로 인도된다. 이온빔은 빔 주사에 의해, 타겟 이동에 의해 또는 빔 주사와 타겟 이동의 조합에 의해 타겟 영역 상부에 분포될 수 있다. 이온빔은 스폿 빔(spot beam) 또는 긴 치수 및 짧은 치수를 갖는 리본 빔(ribbon beam)일 수 있다.
탄소는 또 다른 선비정질화 주입(pre-amorphization implant; PAI) 종들, 예컨대 게르마늄, 붕소 등과 연관하여 부-주입(co-implant) 종으로서 사용될 수 있다. 이 사상은 얕은 도펀트와 PAI 종에 의해 야기된 영역 끝단(end-of-range; EOR) 손상 사이에 카본을 위치시키는 것이다. 치환형(substitutional) 탄소는 열처리 동안 EOR로부터 다시 나오는 몇몇 침입형(interstitials)을 차단할 수 있는데, 그렇지 않으면 상기 열처리는 과속 증속 확산(transient enhanced diffusion; TED) 및 붕소 침입형 클러스터(boron interstitial cluster; BIC) 형성을 야기할 것이다. 그러나 탄소 영역은 종종 PAI 종의 영역과 중첩되고, 따라서 탄소 주입 자체가 PAI에 기여한다. 따라서, 탄소 자신이 또한 선비정질화 종으로 사용될 수 있다.
탄소는 또한 국부적인 압축 변형률(compressive strain)을 생성하기 위해 사용될 수 있다. 그러므로 트랜지스터 소자에서 소스/드레인이 SiC에서 생성되면, 탄소 주입은 트랜지스터 소자의 채널에 인장 변형률(tensile strain)을 야기할 것이다. 이는 n형 금속-산화물-반도체(NMOS) 거동을 향상시킬 수 있다. 트랜지스터 재료의 실리콘 격자 내로 탄소를 함유시키려면, 에피택셜(epitaxial) 성장 또는 주입이 필요할 수 있는데, 실리콘 격자 내로의 탄소의 높은 도즈(dose) 주입은 비정질화를 야기할 수 있고, 상기 탄소는 재성장에서 실리콘 격자 내로 함유될 수 있다. 그 결과, 비정질화 및 응력이 반도체 제조자에 의해 고려되는 중요한 두 가지 요인이다.
따라서, 앞의 관점에서, 이온 주입, 특히, 탄소-함유 종을 주입하기 위한 현재 기술과 관련된 중대한 문제 및 단점이 있다.
탄소-함유 종의 콜드(cold) 주입을 위한 기술이 개시된다. 특정 실시예에서, 이 기술은, 미리 정한 온도로 타겟 재료를 냉각하고, 변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 타겟 재료를 주입하는 것을 포함하는 이온 주입을 위한 방법으로 실현될 수 있다.
이 특정 실시예의 다른 태양에 따르면, 상기 타겟 재료는, 후면 냉각, 열적 처리(thermal conditioning) 냉각 및 선-냉각(pre-chilling) 중 적어도 하나에 의해 냉각될 수 있다.
이 특정 실시예의 또 다른 태양에 따르면, 상기 미리 정한 온도는 상온 아래 및 -212℃ 위일 수 있다. 예를 들어, 상기 미리 정한 온도는 -20℃ 내지 -100℃ 범위 내일 수 있다.
이 특정 실시예의 추가의 태양에 따르면, 상기 탄소-함유 종은 탄소, 디보란, 펜타보란, 카보란, 옥타보란, 데카보란 및 옥타데카보란 중 적어도 하나를 포함하는 분자 탄소일 수 있다.
이 특정 실시예의 다른 태양에 따르면, 상기 탄소-함유 종은 메탄, 에탄, 프로판, 비벤질(bibenzyl), 부탄 및 피렌 중 적어도 하나를 포함하는 알칸 또는 알켄일 수 있다.
이 특정 실시예의 또 다른 태양에 따르면, 상기 방법은 향상된 선-비정질화 주입(PAI) 또는 향상된 타겟 재료의 컨덕턴스를 위해 추가적인 종으로 타겟 재료를 주입하는 것을 더 포함할 수 있다. 예를 들어, 상기 추가적인 종은 게르마늄(Ge), 붕소(B), 인(P), 규소(Si), 비소(As), 크세논(Xe), 탄소(C), 질소(N), 알루미늄(Al), 마그네슘(Mg), 은(Ag), 금(A), 카보란(C2B10H12), 이불화 붕소(BF2), 데카보란, 옥타데카보란, 및 디보란 중 적어도 하나를 포함할 수 있다.
이 특정 실시예의 추가의 태양에 따르면, 상기 방법은 상기 타겟 재료에 적어도 변형률을 생성하고 매우-얕은 접합(ultra-shallow junction; USJ)을 형성하기 위해 사용될 수 있다.
이 특정 실시예의 추가의 태양에 따르면, 상기 방법은 변형률 및 비정질화 중 적어도 하나를 더 향상시키기 위해 도즈, 도즈율(dose rate), 상기 탄소-함유 종 내의 원자들의 수, 원자 에너지 및 압력 중 적어도 하나를 제어하는 것을 더 포함할 수 있다.
또 다른 특정 실시예에 따르면, 상기 기술은, 타겟 재료를 미리 정한 온도로 냉각하기 위한 냉각 장치, 및 변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 상기 타겟 재료를 주입하기 위한 이온 주입기를 포함하는 이온 주입을 위한 장치로 실현될 수 있다.
이 특정 실시예의 추가의 태양에 따르면, 상기 냉각 장치는 후면 냉각 장치, 열적 처리 유닛 및 선-냉각기 중 적어도 하나를 포함할 수 있다.
또 다른 특정 실시예에 따르면, 상기 기술은, 미리 정한 온도로 타겟 재료를 냉각하기 위한 수단, 및 변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 타겟 재료를 주입하기 위한 수단을 포함하는 이온 주입을 위한 장치로 실현될 수 있다.
본 발명이 이제 첨부된 도면에 도시된 바와 같이 전형적인 실시예들을 참조하여 더욱 상세하게 설명될 것이다. 본 발명이 전형적인 실시예들을 참조하여 설명되지만, 본 발명은 그것에 제한되지 않는 것으로 이해되어야 한다. 여기에 개시된 사항에 접근한 당업자는 다른 분야의 사용뿐만 아니라, 추가의 구현예, 변형예 및 실시예들을 인식할 것인데, 그것들은 여기에 개시된 바와 같이 본 발명의 범위 내에 있으며, 그것들과 관련하여 본 발명이 매우 유용할 것이다.
본 발명의 더 완전한 이해를 돕기 위해 첨부된 도면들이 이제 참조 되며, 여기서 동일한 구성 요소는 동일한 번호로 참조 된다. 이들 도면들은 본 발명을 제한하는 것으로 이해되지 않아야 하며, 단지 전형적인 것으로만 의도된다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 도핑 시스템의 부분 단면도를 나타낸다.
도 2는 본 발명의 일 실시예에 따른 빔-라인 이온 주입기를 나타낸다.
도 3은 본 발명의 일 실시예에 따른 후면 가스 열 결합을 수행하기 위한 척(chuck)을 나타낸다.
도 4는 본 발명의 또 다른 실시예에 따른 탄소 모노머와 대비하여 에탄의 효과를 예시하는 전형적인 그래프를 나타낸다.
도 5는 본 발명의 또 다른 실시예에 따른 탄소 주입에 대한 온도 효과를 예시하는 전형적인 그래프를 나타낸다.
도 6은 본 발명의 또 다른 실시예에 따른 다양한 탄소 주입에 대한 탄소 도즈 및 비정질 두께를 예시 및 대비하는 전형적인 그래프를 나타낸다.
본 발명의 실시예들은 탄소-함유 종의 콜드(cold) 주입을 위한 장치 및 방법을 제공한다.
탄소-함유 종들이 예를 들어 반도체 웨이퍼와 같은 작업 대상물에 주입될 수 있다. 이들 탄소-함유 종들의 식은 폭넓게 다양하다. 따라서, 본 명세서에 있는 식들에서, B는 붕소를 나타내고, C는 탄소를 나타내고, Si는 실리콘을 나타낸다. X 및 Y는 각각 적어도 하나의 원소를 나타낸다. 몇몇 경우에서, X 및/또는 Y는 단일 원소(예컨대, X=C, Y=H)를 나타낼 수 있고; 다른 경우에, X 및/또는 Y는 하나의 원소보다 많은 것(예컨대, X=NH4, NH3, CH3)을 나타낼 수 있다. 또한, CBY와 같은 식은 BCY 또는 CBY와 같이 다른 순서로 동일한 원소들을 포함할 수 있는 다른 동등한 화학식에 의해 표현될 수 있다는 것이 이해되어야 한다. 본 발명의 몇몇 실시예들에서, 상기 식들은 CaBbYc로 표현될 수 있으며, 여기서 a>0, b>0 및 c>0이다.
특정 상황에서, Y는 적어도 수소를 나타낼 수 있다(예를 들어, 상기 식은 CaBbHc를 포함한다). 몇몇 실시예들에서, XaBbHc의 유도체가 사용될 수 있다는 것이 이해되어야 하는데, 이것은 X 및/또는 B 자리에서 수소를 대체하는 다른 원소들 또는 원소들의 그룹들(예컨대, CH3)을 포함한다. 또한, 치환기들은 임의의 적합한 무기 또는 유기 종들일 수 있음이 이해되어야 한다.
이에 더하여, 식 CaBbHc가 일 실시예에서 사용될 수 있다. 또 다른 실시예에서 CaBbHc의 유도체가 사용될 수 있는데, 이것은 C 및/또는 B 자리에서 수소를 대체하는 다른 원소들 또는 원소들의 그룹들을 포함한다. 다시, 치환기들은 임의의 적합한 무기 또는 유기 종들일 수 있음이 이해되어야 한다. 또 다른 실시예에서, 식은 카보란, 즉 C2B10H12을 포함할 수 있다.
상기 탄소-함유 종은 식 CBY 또는 XBY를 갖는 분자에만 제한되지 않는다. 실제로, 이들 탄소-함유 종은 분자 또는 원자일 수 있다. 예를 들어, 탄소-함유 종은 데카보란 또는 옥타데카보란일 수 있다. 다른 예들에서, 탄소-함유 종은 메탄, 에탄, 프로판 또는 부탄과 같은 알칸일 수 있다. 더욱이, 탄소-함유 종은 또한 피렌(pyrene) 또는 적어도 하나의 탄소 원자를 포함하는 원자 또는 분자의 임의의 다른 종들일 수 있다.
탄소-함유 종의 사용은 작업 대상물의 비정질화를 증가시킬 수 있다. 더욱이, 분자 탄소-함유 종의 사용은 단위 분자당 다수의 탄소 원자에 기인하여 주어진 빔 에너지에서 주입되는 탄소의 양을 증가시킬 수 있다.
따라서, 본 발명의 실시예들은 탄소-함유 종에 의해 유발되는 비정질화를 향상시키는 이온 주입 시스템 및 방법을 제공할 수 있다. 비정질화를 향상시키도록 조정될 수 있는 많은 파라미터들이 있다. 첫째, 예를 들어, 도즈 증가는 작업 대상물의 비정질/결정 계면이 더 깊어지게 할 수 있으며, 그 때문에 비정질화를 향상시킨다. 그러나 게이트-유기 드레인 누설(GIDL)이 탄소와 연관될 수 있기 때문에, 이러한 비정질화는 제한될 수 있다.
둘째, 도즈율(dose rate)이 또한 비정질화를 향상시키기 위해 증가될 수 있는데, 이러한 증가는 작업 대상물의 비정질/결정 계면이 더 깊어지게 할 수 있기 때문이다. 그러나 이 효과는 빔 전류를 생성하는 이온 소스의 성능에 의해 제한될 수 있다.
셋째, 분자 내의 원자 개수의 증가가 더 빠르게 또한 더 깊게 작업 대상물을 비정질화할 수 있다. 여기서, 이것은 도즈율을 변경하는 것과 유사한 효과를 가질 수 있다.
분자는 또한 구성 원자들 사이에서 그들 각각의 질량에 따른 전체 에너지를 공유할 수 있다. 예를 들어, 깊은 임플란트(deep implants)에서, 원자들은 고 에너지를 가질 수 있고, 이 고 에너지는 이온 주입기 내의 마그넷의 벤딩 성능에 의해 제한되거나 또는 이용가능한 가속 전압에 의해 제한될 수 있다.
넷째, 비정질화는 작업 대상물의 온도를 낮춤으로써 향상될 수 있다. 예를 들어, 손상이 이온이 멈춘 후에 더 오래 지속하여, 증가된 충돌 캐스케이드로부터의 다른 손상이 중첩되도록 한다. 이는 탄소에 중요할 수 있는데, 탄소가 농후한 충돌 캐스케이드를 생성하지 못하는 가벼운 원자이기 때문이다. 따라서, 더 무거운 종들, 예컨대 게르마늄의 경우, 온도 효과는 더 작을 것이다. 그러나 온도를 낮추는 것은 궁극적으로 더 깊은 비정질화 및 매끄러운 비정질/결정 계면을 생성할 수 있다. 궁극적으로, 이는 재성장, 예컨대 고상 에피택셜 재성장(solid phase epitaxial regrowth; SPER) 후에 손상 감소로 이어질 수 있다.
전형적인 목적을 위해서, 에탄이 비정질화를 향상시키기 위한 상술된 많은 방법들을 이용하도록 사용될 수 있음이 이해되어야 한다. 예를 들어, 에탄은 단순한 전구체(예컨대, 에탄, 프로판 등)로 표준 이온 소스(예컨대, 간접 가열 캐소드)에 생성될 수 있으며, 콜드(cold) 온도가 에탄과 함께 비정질화를 향상시키기 위해 사용될 수 있다. 에탄과 유사한 다른 탄소-함유 종이 또한 사용될 수 있다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 도핑 시스템(100)을 나타낸다. 도 1을 참조하면, 플라즈마 도핑 시스템(100)은 둘러싸인 부피(103)를 정의하는 공정 챔버(102)를 포함할 수 있다. 플래튼(134)이 공정 챔버(102) 내에 위치하여 작업 대상물(138)을 지지할 수 있다. 일 실시예에서, 작업 대상물(138)은 디스크 형상을 갖는 반도체 웨이퍼일 수 있다. 예를 들어, 300밀리미터(㎜) 직경의 실리콘 웨이퍼가 사용될 수 있다. 다른 실시예에서, 작업 대상물(138)은 정전기 또는 기계적 힘에 의해 플래튼(134)의 평평한 표면에 클램핑될 수 있다. 또 다른 실시예에서, 플래튼(134)은 작업 대상물(138)에의 접속 형성을 위한 도전성 핀들(도시하지 않음)을 포함할 수 있다. 다른 다양한 실시예들이 또한 제공될 수 있다.
플라즈마 도핑 시스템(100)은 또한 가스 소스(104)를 포함하여 매스 플로우 제어기(106)를 통해 상기 둘러싸인 부피(103)로 도펀트 가스를 제공할 수 있다. 가스 배플(170)이 가스 소스(104)로부터의 가스 유량을 편향시키기 위해 공정 챔버(102) 내에 위치할 수 있다. 압력 게이지(108)가 공정 챔버(102) 내부 압력을 측정하기 위해 제공될 수 있다. 진공 펌프(112)는 공정 챔버(102)의 배출구(110)를 통해 공정 챔버(102)로부터 배출 가스를 배기하기 위해 이용될 수 있다. 배출 밸브(114)가 배출구(110)를 통한 배출 컨덕턴스를 제어할 수 있다.
플라즈마 도핑 시스템(100)은 매스 플로우 제어기(106), 압력 게이지(108) 및 배출 밸브(114)에 전기적으로 접속된 가스 압력 제어기(116)를 더 포함할 수 있다. 가스 압력 제어기(116)는 압력 게이지(108)에 응답하는 피드백 루프로 배출 밸브(114)에 의한 배출 컨덕턴스 또는 매스 플로우 제어기(106)에 의한 공정 가스 유량을 제어함으로써 공정 챔버(102) 내의 원하는 압력을 유지하도록 구성될 수 있다.
공정 챔버(102)는 대체로 수평 방향으로 연장하는 유전 물질로 형성된 제1 부분(120)을 포함하는 챔버 상부(118)를 포함한다. 챔버 상부(118)는 또한 상기 제1 부분(120)으로부터 대체로 수직 방향으로 소정 높이 연장하는 유전 물질로 형성된 제2 부분(122)을 포함한다. 상기 챔버 상부(118)는 수평 방향으로 상기 제2 부분(122)을 가로질러 연장하는 전기적 열적 전도성 재료로 형성된 리드(124)를 더 포함할 수 있다. 리드(124)는 또한 접지될 수 있다.
플라즈마 도핑 시스템(100)은 공정 챔버(102) 내에 플라즈마(140)를 생성하도록 구성된 소스 구성(101)을 더 포함할 수 있다. 소스 구성(101)은 전원 장치와 같은 RF 소스(150)를 포함하여 평면 RF 안테나(126) 및 나선 RF 안테나(146) 중 하나 또는 둘 모두에 RF 전력을 공급하여 플라즈마(140)를 생성할 수 있다. RF 소스(150)는 임피던스 매칭 네트워크(152)를 통해 안테나(126, 1246에 결합될 수 있다. 일 실시예에서, 임피던스 매칭 네트워크(152)는 RF 소스(150)로부터 RF 안테나(126, 146)로 전송되는 전력을 최대화하기 위해 RF 소스(150)의 출력 임피던스를 RF 안테나(126, 146)의 임피던스에 매칭시킨다. 다른 다양한 구성이 또한 제공될 수 있다.
플라즈마 도핑 시스템(100)은 또한 플래튼(134)에 전기적으로 결합된 바이어스 전원 장치(148)를 포함할 수 있다. 일 실시예에서, 바이어스 전원 장치(148)는 플래튼(134) 및 따라서 작업 대상물(138)을 바이어싱하기 위해 펄스 ON 및 OFF 시간 주기를 갖는 펄스화된 플래튼 신호를 제공하고, 펄스 OFF 주기 동안은 아니고 펄스 ON 시간 주기 동안에는 플라즈마(140)로부터 작업 대상물(138)을 향해 이온을 가속시키도록 구성될 수 있다. 바이어스 전원 장치(148)는 DC 또는 RF 전원 장치일 수 있다. 다른 변형이 또한 이용될 수 있다.
플라즈마 도핑 시스템(100)은 플래튼(134) 주위에 배치된 쉴드링(194)를 더 포함할 수 있다. 쉴드링(194)은 작업 대상물(138)의 가장자리 근처에서 주입되는 이온 분포의 균일성을 향상시키기 위해 바이어싱될 수 있다. 하나 이상의 패러데이 센서, 예컨대 환형 패러데이 센서(199)가 이온빔 전류를 감지하기 위해 쉴드링(194)에 배치될 수 있다.
플라즈마 도핑 시스템(100)은 제어기(156) 및 사용자 인터페이스 시스템(158)을 더 포함할 수 있다. 일 실시예에서, 제어기(156)는 원하는 입력/출력 기능을 수행하도록 프로그램된 범용 컴퓨터 또는 범용 컴퓨터의 네트워크일 수 있다. 또 다른 실시예에서, 제어기(156)는 다른 전자 회로 또는 부품들, 예컨대 주문형 집적회로(application specific integrated circuits), 다른 하드와이어드(hardwired) 또는 프로그래머블 전자 장치들, 개별 요소 회로들(discrete element circuits) 등을 포함하거나 추가로 포함할 수 있다. 또 다른 실시예에서, 제어기(156)는 또한 통신 장치들, 데이터 저장 장치들 및 소프트웨어를 포함할 수 있다. 도 1의 제어기(156)가 전원 장치(148, 150)에 출력 신호만을 제공하고, 패러데이 센서(199)로부터 입력 신호를 수신하는 것으로 예시되어 있지만, 제어기(156)는 또한 플라즈마 도핑 시스템(100)의 다른 부품들에 출력 신호를 제공하고 그들로부터 입력 신호를 수신할 수 있다. 다른 다양한 실시예들이 또한 제공될 수 있다.
사용자 인터페이스 시스템(158)은 제어기(156)를 통해 사용자가 명령 및/또는 데이터를 입력하고 및/또는 플라즈마 도핑 시스템(100)을 모니터링 하도록 하는 다양한 장치들을 포함할 수 있다. 이것들은 터치 스크린, 키보드, 사용자 포인팅 장치, 디스플레이, 프린터 등을 포함할 수 있다. 다른 다양한 장치들이 또한 이용될 수 있다.
동작시, 가스 소스(104)는 작업 대상물(138)에 주입하기 위한 원하는 도펀트를 포함하는 1차 도펀트 가스를 공급할 수 있다. 다양한 1차 도펀트 가스가 사용될 수 있다. 예를 들어, 일 실시예에서, 1차 도펀트 가스는 Si, C, N, Ge, Sn, Al, Mg, Ag, Au 또는 이들의 조합일 수 있다. 또 다른 실시예에서, 1차 도펀트 가스는 또한 비소, 붕소, 인, 카보란 C2B10H12, 또는 다른 큰 분자 화합물이거나 그것을 포함할 수 있다. 또 다른 실시예에서, 1차 도펀트 가스는 알칸 또는 다른 원자 또는 분자의 탄소-함유 종일 수 있다. 다른 다양한 1차 도펀트 가스 실시예들이 또한 제공될 수 있다.
가스 압력 제어기(116)는 1차 도펀트 가스가 공정 챔버(102)에 공급되는 속도를 조절할 수 있다. 소스 구성(101)은 공정 챔버(102) 내에 플라즈마(140)를 생성하도록 작동할 수 있다. 소스 구성(101)은 제어기(156)에 의해 제어될 수 있다. 플라즈마(140)를 생성하기 위해, RF 소스(150)가 RF 안테나(126, 146) 중 적어도 하나에 RF 전류를 공진하여 공정 챔버(102) 내에 전자기장(예컨대, 발진하는 DC 또는 RF 필드)을 생성하는데, 이는 다시 공정 챔버(102) 내의 1차 도펀트 가스를 여기 및 이온화하여 플라즈마(140)를 생성할 수 있다.
바이어스 전원 장치(148)는 플래튼(134) 및 따라서 작업 대상물(138)을 바이어싱하기 위해 펄스화된 플래튼 신호를 제공하여 펄스화된 플래튼 신호의 펄스 ON 주기 동안 플라즈마(140)로부터 작업 대상물(138)을 향해 이온을 가속시킬 수 있다. 펄스화된 플래튼 신호의 주파수 및/또는 펄스의 듀티 사이클(duty cycle) 원하는 도즈율(dose rate)을 제공하도록 선택될 수 있다. 모든 다른 파라미터가 동일할 때, 더 큰 에너지는 더 큰 주입 깊이를 초래할 것이다.
도 2는 본 발명의 일 실시예에 따른 빔-라인 이온 주입기(200)를 나타낸다. 도 2를 참조하면, 빔-라인 이온 주입기(200)는 이온 소스(280)를 포함하여 이온빔(281)을 형성하는 이온을 생성한다. 이온 소스(280)는 이온 챔버(283) 및 이온화될 가스를 포함하는 가스 박스(도시하지 않음)를 포함한다. 가스는 그것이 이온화되는 이온 챔버(283)로 공급된다. 일 실시예에서, 이 가스는 비소, 붕소, 인, 카보란 C2B10H12, 또는 다른 커다란 분자 화합물이거나 그것을 포함할 수 있다. 또 다른 실시예에서, 상기 가스는 알칸 또는 다른 원자 또는 분자의 탄소-함유 종일 수 있다. 형성된 이온은 이온 챔버(283)로부터 인출되어 이온빔(281)을 형성한다.
이온빔(281)은 분해 마그넷(282)의 폴들(poles) 사이로 인도될 수 있다. 전원 장치가 이온 소스(280)의 인출 전극(extraction electrode)에 연결되고, 조정 가능한 전압을 제공할 수 있다. 예를 들면, 고전류 이온 주입기에서 약 0.2 내지 80kV의 전압이 제공될 수 있다. 따라서, 이온 소스로부터 단일하게 대전된 이온들이 이 조정 가능한 전압에 의해 약 0.2 내지 80 keV의 에너지로 가속될 수 있다.
이온빔(281)은 억제 전극(284) 및 접지 전극(285)을 통과하여 질량 분석기(286)로 진행한다. 도 2에 나타내듯이, 질량 분석기(286)는 분해 마그넷(282)을 포함할 수 있다. 질량 분석기(286)는 분해 구경(289)을 갖는 마스킹 전극(288)으로 이온빔(281)을 인도할 수 있다. 또 다른 실시예에 있어서, 질량 분석기(286)는 분해 마그넷(282) 및 분해 구경(289)을 갖는 마스킹 전극(288)을 포함할 수 있다. 분해 마그넷(282)은 원하는 이온 종들의 이온이 분해 구경(289)을 통과하도록 이온빔(281) 내의 이온들을 편향시킨다. 원치않는 이온 종들은 분해 구경(289)을 통과하지 못한다. 대신에, 이러한 원치 않는 이온 종들은 마스킹 전극(288)에 의해 차단된다. 일 실시예에서, 예를 들어, 분해 마그넷(282)은 원하는 이온 종을 약 90°만큼 편향시킨다.
원하는 이온 종의 이온이 분해 구경(289)을 통과하여 각도 보정 마그넷(294)으로 진행한다. 각도 보정 마그넷(294)은 원하는 이온 종의 이온을 편향시키고 이온빔을 발산하는 이온빔으로부터 리본 이온빔(212)으로 변환하는데, 이것은 실질적으로 평행한 이온 궤적을 갖는 이온들을 포함한다. 일 실시예에서, 예를 들어, 각도 보정 마그넷(294)은 원하는 이온 종의 이온을 약 70°만큼 편향시킨다. 또 다른 실시예에서, 상기 빔-라인 이온 주입기(200)는 가속 또는 감속 유닛들을 더 포함할 수 있다. 다른 다양한 실시예들이 또한 제공될 수 있다.
엔드 스테이션(211)은 원하는 종의 이온이 작업 대상물(138)에 주입되도록 리본 이온빔(212)의 경로에 하나 이상의 작업 대상물, 예컨대 작업 대상물(138)을 지지한다. 엔드 스테이션(211)은 플래튼(295)을 포함하여 작업 대상물(138)을 지지할 수 있다. 엔드 스테이션(211)은 또한 리본 이온빔(212) 단면의 긴 치수에 수직하게 작업 대상물(138)을 이동시키기 위한 스캐너(도시하지 않음)를 포함할 수 있으며, 그것에 의해 작업 대상물(138)의 전체 표면에 걸쳐 이온을 분포시킬 수 있다. 리본 이온빔(212)이 도 2에 예시되지만, 예컨대 스폿빔과 같은 다른 다양한 빔들 및 실시예들이 제공될 수 있다.
상기 이온 주입기(200)는 추가 부품들을 포함할 수 있다. 예를 들어, 일 실시예에서, 엔드 스테이션(211)은 또한 빔-라인 이온 주입기(200)로 작업 대상물을 도입하고 이온 주입 후 작업 대상물을 제거하기 위한 자동화된 작업 대상물 핸들링 장치를 포함할 수 있다. 또 다른 실시예에서, 엔드 스테이션(211)은 또한 도즈 계량 시스템, 전자 플러드 건(electron flood gun), 또는 다른 유사한 부품들을 포함할 수 있다. 이온빔(212)이 지나가는 전체 경로가 이온 주입 동안 배기되는 것이 당업자에게 이해될 것이다. 더욱이, 빔-라인 이온 주입기(200)는 이온의 핫(hot) 또는 콜드(cold) 주입을 위해 제공될 수 있다.
위에서 논의된 바와 같이, 비정질화를 향상시키기 위해, 작업 대상물이 냉각될 수 있다. 도 3은 본 발명의 일 실시예에 따른 후면 가스 열 결합을 수행하기 위한 척(300)을 나타낸다. 척(300)은 후면 가스 열 결합을 수행하는 후면 가스 장치를 가질 수 있다. 일 실시예에서, 후면 가스 열 결합은 도 1에 도시된 바와 같은 플라즈마 도핑 시스템에서 수행될 수 있다. 또 다른 실시예에서, 후면 가스 열 결합은 도 2에 도시된 바와 같은 빔-라인 이온 주입기에서 수행될 수 있다. 다른 다양한 구현 및 응용이 또한 제공될 수 있다.
도 3을 참조하면, 가스 원자 또는 분자(301)가 작업 대상물(338)과 척(300) 사이에서 흐를 때, 가스 원자 또는 분자(301)는 척(300)의 표면을 가격하고 척(300)의 온도에 대응하는 병진 또는 회전 에너지를 얻을 수 있다. 척(300)의 온도에 대응하는 에너지는 원자 또는 분자(301)와 그들이 가격하는 척(300)의 표면 사이에서 경험되는 결합을 나타내는 수용 계수(accommodation coefficient)를 사용하여 설명될 수 있다. 이 예에서, 수용 계수는 원자 또는 분자(301)의 세부 내역(예컨대, 자유도)과 가격(striking) 표면의 세부 내역(예컨대, 거칠기 또는 가격 계수)에 의존할 수 있다.
열화된(thermalized) 원자 또는 분자(301)는 그 후 작업 대상물(338)과 척(300) 사이의 간극(gap, 303)을 가로질러 진행한다. 작업 대상물(338)과 척(300) 사이의 거리가 원자 또는 분자(301)의 평균 자유 경로(예컨대, 충돌 사이에 진행하는 평균 거리)에 비해 짧으면, 간극(303)을 가로지르는 진행은 직접 경로일 수 있다. 원자 또는 분자(301)가 작업 대상물(338)에 도달할 때, 동일한 열화 과정이 작업 대상물(338)에서 발생할 수 있다. 예를 들어, 일 실시예에서, 작업 대상물(338)이 척(300)보다 더 뜨거우면, 원자 또는 분자(301)는 작업 대상물(138)로부터 에너지를 흡수할 수 있다. 반대로, 척(300)이 작업 대상물(338)보다 더 뜨거우면, 원자 또는 분자(301)는 척(300)으로부터 에너지를 흡수할 수 있다. 그러므로 원자 또는 분자(301)가 작업 대상물(338)과 척(300) 사이에서 진행할 때, 두 개의 표면들이 동일한 온도를 향해 이동될 수 있다. 이러한 방식으로, 작업 대상물(338)은 가열되거나 냉각될 수 있다. 원자 또는 분자(301) 간에 상당히 많은 충돌이 있다면 이 열 전달은 덜 효율적이게 될 수 있는데, 이는 원자 또는 분자가 서로 간에 에너지를 공유할 것이기 때문이다.
더 높은 가스 압력은 작업 대상물(338)과 척(300) 사이에서 열을 전달하는 더 많은 원자 또는 분자(301)를 의미하지만, 그것은 또한 더 짧은 평균 자유 경로를 의미할 수 있다. 따라서, 낮은 압력에서, 열 전달은 가스 압력에 비례할 수 있다. 압력이 평균 자유 경로가 척(300)과 작업 대상물(338) 사이의 간극(303)으로 떨어지는 점까지 상승할 때, 상기 증가는 떨어지기 시작할 수 있다. 더 높은 압력은 작업 대상물(338)을 척(300)에 더 가깝게 유지함으로써 사용될 수 있다. 대부분의 경우, 클램핑 압력이 일반적으로 후면 가스 압력보다 더 높다는 것이 이해되어야 한다. 다른 변화들이 또한 제공될 수 있다.
또 다른 실시예에서, 열적 처리 유닛(thermal conditioning unit)이 작업 대상물을 냉각하기 위해 사용될 수 있다. 예를 들어, 작업 대상물은 열적 처리 유닛 상에 놓일 수 있다. 일 실시예에서, 예를 들면, 로봇 암이 열적 처리 유닛과 척 사이에서 작업 대상물을 이동시킬 수 있으며, 작업 대상물은 상온 아래로 냉각될 수 있다.
작업 대상물은 비정질화를 최적화하기 위해 다양한 소정 온도로 냉각될 수 있음이 이해되어야 한다. 예를 들어, 냉각 범위는 상온 아래에서 -212℃까지 일 수 있다. 일 실시예에서, 작업 대상물은 0℃까지 또는 어는 점 아래로 냉각될 수 있다. 또 다른 실시예에서, 작업 대상물은 -20℃와 -100℃ 사이로 냉각될 수 있다. 또 다른 실시예에서, 작업 대상물은 대략 -60℃까지 냉각될 수 있다. 다른 다양한 냉각 온도가 이용될 수 있다.
또 다른 실시예에 따르면, 선-냉각기(pre-chiller)가 엔드 스테이션 또는 공정 챔버에서 사용되어 작업 대상물을 냉각할 수 있다. 예를 들어, 일 실시예에서, 선-냉각기는 엔드 스테이션 또는 공정 챔버 내의 플릿폼일 수 있다. 또 다른 실시예에서, 선-냉각(pre-chilling)은 로드락에서 발생할 수 있다. 또 다른 실시예에서, 플래튼이 도 3에서 설명된 것과 유사한 방식으로 작업 대상물을 냉각할 수 있다. 다른 다양한 실시예들이 또한 구현될 수 있다. 예를 들어, 이것들은 잉글랜드(England) 등에 의해 2006년 8월 15일자 출원된 미국 특허 출원 번호 제11/504,367호, 블레이크(Blake) 등에 의해 2006년 9월 23일자 출원된 미국 특허 출원 번호 제11/525,878호, 및 잉글랜드(England) 등에 의해 2007년 4월 10일자 출원된 미국 특허 출원 번호 제11/733,445호에 개시된 다른 냉각 공정들을 포함할 수 있으며, 이들은 모두 참조문헌으로 여기에 포함된다.
도 4는 본 발명의 일 실시예에 따른, 단순한 탄소 모노머들에 대비하여 에탄, 탄소 분자의 효과를 예시하는 전형적인 그래프(400)를 나타낸다. 이 예에서, 탄소-함유 종으로서 에탄의 사용은 대략 50% 까지 비정질화를 증가시키는 것으로 보여지고, 이온 주입에 이상적인 실질적으로 급격한 프로파일을 생성할 수 있다.
도 5는 본 발명의 또 다른 실시예에 따른 탄소 주입에 대한 온도 효과를 예시하는 전형적인 그래프(500)를 나타낸다. 더 낮은 온도, 나타낸 바와 같이 예컨대 -100℃에서 탄소를 주입하면, 대략 100%까지 비정질화를 증가시킬 수 있다. 더욱이, 비정질층을 넘는 탄소 도즈는 경감될 수 있다.
도 6은 본 발명의 또 다른 실시예에 따른 다양한 탄소 주입에 대해 탄소 도즈와 비정질층을 예시 및 대비하는 전형적인 그래프(600)를 나타낸다. 이 실시예에서, 표준 주입에 대비하여 콜드 주입이 수행될 때 비정질 두께가 증가하는 것으로 보여진다.
따라서, 탄소-함유 종의 콜드 주입은 매우-얕은(ultra-shallow) 주입 및 변형률 엔지니어링 양자를 향상시킬 수 있다. 예를 들어, 탄소-함유 종은 콜드 조건하에서, 예컨대 -60℃에서 주입될 수 있다. 더욱이, 탄소-함유 종의 콜드 주입은 단독으로 또는 다른 종들, 예컨대 PAI로서 게르마늄과 함께 수행될 수 있다.
이에 더하여, 탄소-함유 종의 콜드 주입은 매우-얕은 접합(ultra-shallow junction; USJ)을 형성하기 위해 수행될 수 있다. USJ를 주입하기 위해, 작업 대상물은 도펀트들(예컨대, 붕소, 인 등)이 작업 대상물의 결정 격자 내에서 채널링하지 않도록 비정질화될 수 있다. 탄소가 비정질층을 생성하기 위해 주입될 수 있다. 예를 들어, 탄소의 콜드 주입은 붕소 또는 인의 더 낳은 활성을 제공할 수 있다. 콜드 온도는 도펀트 프로파일을 더 얕게 만들고 또한 작업 대상물의 결정 격자 내 채널링을 방지한다. 특히, 탄소는 활성 자리(activation sites)에 대해 붕소 또는 인과 경쟁할 수 있으며, 그러므로 붕소 또는 인의 확산을 막을 수 있다. 하나의 예만이 설명되지만, 다른 매우-얕은 주입이 탄소-함유 종의 콜드 주입에 의해 유사한 방식으로 수행될 수 있다.
더욱이, 탄소-함유 종의 콜드 주입은 변형률을 생성하도록 수행될 수 있다. 변형률을 생성하기 위해 작업 대상물에 주입되는 탄소는 작업 대상물의 결정 격자로부터 원자를 두드릴 수 있다. 예를 들어, 이들은 실리콘 또는 게르마늄 원자들일 수 있다. 탄소-함유 종이 다중 탄소 원자를 갖는 분자 화합물이면, 탄소 원자가 작업 대상물의 결정 격자로부터 원자를 두드릴 수 있는 기회가 증가될 수 있다. 따라서, 탄소-함유 종의 주입은 비정질화 및 변형률을 증가시킬 수 있다.
따라서, 콜드 온도 조건하에서의 탄소 분자의 주입은, 특히 USJ 형성에 있어서, 비정질화 및 변형률의 효과를 실질적으로 향상시키고 이온 주입을 최적화할 수 있다.
본 발명의 실시예들이 RF 모드에서 작동하는 플라즈마 도핑 시스템을 사용하는 주입에 관한 것이지만, 따른 구현예들, 시스템들 및/또는 작동 모드들이 또한 제공될 수 있음이 이해되어야 한다. 예를 들어, 이것들은 다른 플라즈마-기반 이온 주입 시스템, 예컨대 글로우 방전 플라즈마 도핑(GD-PLAD) 또는 다른 이온 주입 시스템을 포함할 수 있다.
본 발명의 실시예들이 탄소-함유 종을 사용하여 설명되지만, 다른 주입 종들이 또한 제공될 수 있음이 이해되어야 한다. 예를 들어, 이것들은 불소 함유 분자(예컨대, 이불화 붕소(BF2)) 또는 비소나 인 함유 분자, 예컨대 비소 또는 인의 다이머들(예컨대, As2 또는 P2) 또는 테트레머(As4 또는 P4)를 포함할 수 있다.
또한, 개시된 실시예들은 몇개의 작동 모드를 제공할 뿐만 아니라, 이들 다양한 모드들은 주입에 대한 추가적인 사용자 주문을 제공할 수 있는데, 그렇지 않으면 즉시 제공되지 않을 것이다.
본 발명은 여기에 설명된 특정 실시예들에 의해 범위가 제한되지 않는다. 실제로, 여기에 설명된 것들에 더하여, 본 발명에 대한 다른 다양한 실시예들 및 변형들이 앞의 설명 및 첨부된 도면으로부터 당업자에게 명백할 것이다. 따라서, 그러한 다른 실시예들 및 변형들은 본 발명의 범위 내에 들도록 의도된다. 나아가, 본 발명이 특정 목적을 위해 특정 환경에서 특정 구현에의 내용으로 여기에서 설명되었지만, 당업자는 그 유용성이 그것에 한정되지 않으며 본 발명이 임의의 개수의 목적들을 위해 임의의 개수의 환경들에서 유익하게 구현될 수 있음을 인식할 것이다. 따라서, 아래에 언급되는 청구항들은 여기에 설명된 본 발명의 전체 범위 및 사상의 관점에서 이해되어야 한다.

Claims (21)

  1. 미리 정한 온도로 타겟 재료를 냉각하고; 및
    변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 타겟 재료를 주입하는 것을 포함하는 이온 주입을 위한 방법.
  2. 청구항 1에 있어서, 상기 타겟 재료는, 후면 냉각, 열적 처리 냉각 및 선-냉각 중 적어도 하나에 의해 냉각되는 이온 주입을 위한 방법.
  3. 청구항 1에 있어서, 상기 미리 정한 온도는 상온 아래 및 -212℃ 위인 이온 주입을 위한 방법.
  4. 청구항 1에 있어서, 상기 미리 정한 온도는 -20℃ 내지 -100℃ 범위 내인 이온 주입을 위한 방법.
  5. 청구항 1에 있어서, 상기 탄소-함유 종은 탄소, 디보란, 펜타보란, 카보란, 옥타보란, 데카보란 및 옥타데카보란 중 적어도 하나를 포함하는 분자 탄소인 이온 주입을 위한 방법.
  6. 청구항 1에 있어서, 상기 탄소-함유 종은 메탄, 에탄, 프로판, 비벤질, 부탄 및 피렌 중 적어도 하나를 포함하는 알칸 또는 알켄인 이온 주입을 위한 방법.
  7. 청구항 1에 있어서, 향상된 선-비정질화 주입(PAI) 또는 향상된 타겟 재료의 컨덕턴스를 위해 추가적인 종으로 타겟 재료를 주입하는 것을 더 포함하는 이온 주입을 위한 방법.
  8. 청구항 8에 있어서, 상기 추가적인 종은 게르마늄(Ge), 붕소(B), 인(P), 규소(Si), 비소(As), 크세논(Xe), 탄소(C), 질소(N), 알루미늄(Al), 마그네슘(Mg), 은(Ag), 금(A), 카보란(C2B10H12), 이불화 붕소(BF2), 데카보란, 옥타데카보란, 및 디보란 중 적어도 하나를 포함하는 이온 주입을 위한 방법.
  9. 청구항 1에 있어서, 상기 방법은 상기 타겟 재료에 적어도 변형률을 생성하고 매우-얕은 접합(ultra-shallow junction; USJ)을 형성하기 위해 사용되는 이온 주입을 위한 방법.
  10. 청구항 1에 있어서, 변형률 및 비정질화 중 적어도 하나를 더 향상시키기 위해 도즈, 도즈율(dose rate), 상기 탄소-함유 종 내의 원자들의 수, 원자 에너지 및 압력 중 적어도 하나를 제어하는 것을 더 포함하는 이온 주입을 위한 방법.
  11. 타겟 재료를 미리 정한 온도로 냉각하기 위한 냉각 장치; 및
    변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 상기 타겟 재료를 주입하기 위한 이온 주입기를 포함하는 이온 주입을 위한 장치.
  12. 청구항 11에 있어서, 상기 냉각 장치는 후면 냉각 장치, 열적 처리 유닛 및 선-냉각기 중 적어도 하나를 포함하는 이온 주입을 위한 장치.
  13. 청구항 11에 있어서, 상기 미리 정한 온도는 상온 아래 및 -212℃ 위인 이온 주입을 위한 장치.
  14. 청구항 11에 있어서, 상기 미리 정한 온도는 -20℃ 내지 -100℃ 범위 내인 이온 주입을 위한 장치.
  15. 청구항 11에 있어서, 상기 탄소-함유 종은 탄소, 디보란, 펜타보란, 카보란, 옥타보란, 데카보란 및 옥타데카보란 중 적어도 하나를 포함하는 분자 탄소인 이온 주입을 위한 장치.
  16. 청구항 11에 있어서, 상기 탄소-함유 종은 메탄, 에탄, 프로판, 비벤질, 부탄 및 피렌 중 적어도 하나를 포함하는 알칸 또는 알켄인 이온 주입을 위한 장치.
  17. 청구항 11에 있어서, 상기 이온 주입기는 플라즈마 도핑 시스템 또는 빔-라인 이온 주입기인 이온 주입을 위한 장치.
  18. 청구항 11에 있어서, 상기 이온 주입기는 향상된 선-비정질화 주입(PAI) 또는 타겟 재료의 향상된 컨덕턴스를 위해 추가적인 종으로 타겟 재료를 더 주입하는 것을 포함하는 이온 주입을 위한 장치.
  19. 청구항 18에 있어서, 상기 추가적인 종은 게르마늄(Ge), 붕소(B), 인(P), 규소(Si), 비소(As), 크세논(Xe), 탄소(C), 질소(N), 알루미늄(Al), 마그네슘(Mg), 은(Ag), 금(A), 카보란(C2B10H12), 이불화 붕소(BF2), 데카보란, 옥타데카보란, 및 디보란 중 적어도 하나를 포함하는 이온 주입을 위한 장치.
  20. 청구항 11에 있어서, 변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 도즈, 도즈율(dose rate), 탄소-함유 종 내의 원자들의 수, 원자 에너지 및 압력 중 적어도 하나를 제어하기 위한 하나 이상의 제어기를 더 포함하는 이온 주입을 위한 장치.
  21. 미리 정한 온도로 타겟 재료를 냉각하기 위한 수단; 및
    변형률 및 비정질화 중 적어도 하나를 향상시키기 위해 상기 미리 정한 온도에서 탄소-함유 종으로 타겟 재료를 주입하기 위한 수단을 포함하는 이온 주입을 위한 장치.
KR1020107019483A 2008-02-11 2009-02-11 탄소-함유 종의 콜드 주입을 위한 기술 KR20100126721A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US2756308P 2008-02-11 2008-02-11
US61/027,563 2008-02-11
US12/059,437 2008-03-31
US12/059,437 US20090200494A1 (en) 2008-02-11 2008-03-31 Techniques for cold implantation of carbon-containing species

Publications (1)

Publication Number Publication Date
KR20100126721A true KR20100126721A (ko) 2010-12-02

Family

ID=40938115

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107019483A KR20100126721A (ko) 2008-02-11 2009-02-11 탄소-함유 종의 콜드 주입을 위한 기술

Country Status (6)

Country Link
US (1) US20090200494A1 (ko)
JP (1) JP2011512038A (ko)
KR (1) KR20100126721A (ko)
CN (1) CN101939822A (ko)
TW (1) TW200945422A (ko)
WO (1) WO2009102752A2 (ko)

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7655931B2 (en) * 2007-03-29 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for improving the performance and extending the lifetime of an ion source with gas mixing
US7807961B2 (en) * 2008-10-08 2010-10-05 Varian Semiconductor Equipment Associates, Inc. Techniques for ion implantation of molecular ions
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8343860B1 (en) 2010-03-23 2013-01-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude High C content molecules for C implant
US9024273B2 (en) * 2010-04-20 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Method to generate molecular ions from ions with a smaller atomic mass
KR101817185B1 (ko) * 2010-05-28 2018-01-10 액셀리스 테크놀러지스, 인크. 피가공재 상의 응축을 방지하기 위한 능동형 이슬점 감지 및 로드록 배기
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
WO2012073583A1 (en) * 2010-12-03 2012-06-07 Kabushiki Kaisha Toshiba Method of forming an inpurity implantation layer
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8633549B2 (en) 2011-10-06 2014-01-21 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US20150104914A1 (en) * 2012-02-07 2015-04-16 United Microelectronics Corp. Semiconductor process
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8536072B2 (en) * 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9711324B2 (en) 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9012300B2 (en) 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102274771B1 (ko) * 2014-03-10 2021-07-09 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103943470A (zh) * 2014-04-22 2014-07-23 上海华力微电子有限公司 半导体器件的制作方法
CN103972102A (zh) * 2014-04-22 2014-08-06 上海华力微电子有限公司 超浅结的形成方法及半导体器件的形成方法
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10256069B2 (en) * 2016-11-24 2019-04-09 Axcelis Technologies, Inc. Phosphorous trifluoride co-gas for carbon implants
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP2017175143A (ja) * 2017-05-01 2017-09-28 株式会社Sumco 半導体エピタキシャルウェーハの製造方法、半導体エピタキシャルウェーハ、および固体撮像素子の製造方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
DE102017124682B4 (de) * 2017-10-23 2019-06-27 RF360 Europe GmbH Wafer-Träger, Verfahren zum Abtragen von Material von einer Oberseite eines Wafers und Verfahren zum Hinzufügen von Material zu einem Wafer
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
EP3711081A4 (en) * 2017-11-17 2021-09-29 AES Global Holdings, Pte. Ltd. SPATIAL AND TIME CONTROL OF IONIC POLARIZATION VOLTAGE FOR PLASMA TREATMENT
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113943927A (zh) * 2021-09-28 2022-01-18 西安交通大学 一种提高丝锥切削精度和使用寿命的方法
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3915757A (en) * 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US4264642A (en) * 1978-12-11 1981-04-28 Lord Corporation Deposition of thin film organic coatings by ion implantation
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
US4474827A (en) * 1982-07-08 1984-10-02 Ferralli Michael W Ion induced thin surface coating
EP0405855A3 (en) * 1989-06-30 1991-10-16 Hitachi, Ltd. Ion implanting apparatus and process for fabricating semiconductor integrated circuit device by using the same apparatus
US5244820A (en) * 1990-03-09 1993-09-14 Tadashi Kamata Semiconductor integrated circuit device, method for producing the same, and ion implanter for use in the method
JPH04162618A (ja) * 1990-10-26 1992-06-08 Hitachi Ltd 半導体装置の製造方法およびイオン打込み装置ならびに半導体装置
US5346600A (en) * 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5693376A (en) * 1995-06-23 1997-12-02 Wisconsin Alumni Research Foundation Method for plasma source ion implantation and deposition for cylindrical surfaces
KR100219411B1 (ko) * 1995-11-24 1999-09-01 윤종용 반도체 이온주입설비의 패러데이컵 어셈블리
JPH10199470A (ja) * 1997-01-13 1998-07-31 Ishikawajima Harima Heavy Ind Co Ltd イオンドーピング時の基板冷却装置
US6245161B1 (en) * 1997-05-12 2001-06-12 Silicon Genesis Corporation Economical silicon-on-silicon hybrid wafer assembly
US6086962A (en) * 1997-07-25 2000-07-11 Diamonex, Incorporated Method for deposition of diamond-like carbon and silicon-doped diamond-like carbon coatings from a hall-current ion source
US7154153B1 (en) * 1997-07-29 2006-12-26 Micron Technology, Inc. Memory device
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6452338B1 (en) * 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
JP4820038B2 (ja) * 1999-12-13 2011-11-24 セメクイップ, インコーポレイテッド イオン注入イオン源、システム、および方法
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
ES2173817B1 (es) * 2001-04-16 2003-10-16 Fundacion Inasmet Metodo para la fabricacion de implantes endo-oseos o protesis medicas mediante la tecnica de implantacion ionica.
US6936505B2 (en) * 2003-05-20 2005-08-30 Intel Corporation Method of forming a shallow junction
US7105839B2 (en) * 2003-10-15 2006-09-12 White Nicholas R Method and fine-control collimator for accurate collimation and precise parallel alignment of scanned ion beams
US7015108B2 (en) * 2004-02-26 2006-03-21 Intel Corporation Implanting carbon to form P-type drain extensions
US7446326B2 (en) * 2005-08-31 2008-11-04 Varian Semiconductor Equipment Associates, Inc. Technique for improving ion implanter productivity
KR101455564B1 (ko) * 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를 위한 시스템 및 방법
US20070178679A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
JP4988327B2 (ja) * 2006-02-23 2012-08-01 ルネサスエレクトロニクス株式会社 イオン注入装置
JP2009540533A (ja) * 2006-06-12 2009-11-19 セムイクウィップ・インコーポレーテッド 蒸発装置
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions

Also Published As

Publication number Publication date
WO2009102752A2 (en) 2009-08-20
US20090200494A1 (en) 2009-08-13
TW200945422A (en) 2009-11-01
CN101939822A (zh) 2011-01-05
JP2011512038A (ja) 2011-04-14
WO2009102752A3 (en) 2009-10-08

Similar Documents

Publication Publication Date Title
KR20100126721A (ko) 탄소-함유 종의 콜드 주입을 위한 기술
US7807961B2 (en) Techniques for ion implantation of molecular ions
US20100323113A1 (en) Method to Synthesize Graphene
KR101409925B1 (ko) 기판을 이용하여 솔라 셀을 제조하는 방법
US7642150B2 (en) Techniques for forming shallow junctions
US20060097193A1 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US20100255683A1 (en) Plasma processing apparatus
US8372735B2 (en) USJ techniques with helium-treated substrates
US20080090392A1 (en) Technique for Improved Damage Control in a Plasma Doping (PLAD) Ion Implantation
KR20170019483A (ko) 플라즈마 처리 장치
TWI469368B (zh) 在太陽能電池製造中供固態磊晶成長之直流電離子注入
WO2012068088A1 (en) Doping of planar or three-dimensional structures at elevated temperatures
JP2008159585A (ja) イオンを加工物に注入するシステム及びその方法
KR101365102B1 (ko) 이중 펌핑 모드를 구비하는 이온 주입 장치 및 그 방법
US20100112788A1 (en) Method to reduce surface damage and defects
US8124506B2 (en) USJ techniques with helium-treated substrates
CN102918631A (zh) 无损结形成方法
KR100569213B1 (ko) 이온 주입 시스템 및 제어 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid