KR20100067640A - 유기실리케이트 물질로부터 탄소를 제거하는 방법 - Google Patents

유기실리케이트 물질로부터 탄소를 제거하는 방법 Download PDF

Info

Publication number
KR20100067640A
KR20100067640A KR1020090123455A KR20090123455A KR20100067640A KR 20100067640 A KR20100067640 A KR 20100067640A KR 1020090123455 A KR1020090123455 A KR 1020090123455A KR 20090123455 A KR20090123455 A KR 20090123455A KR 20100067640 A KR20100067640 A KR 20100067640A
Authority
KR
South Korea
Prior art keywords
membrane
carbon
film
porous
composite
Prior art date
Application number
KR1020090123455A
Other languages
English (en)
Other versions
KR101179167B1 (ko
Inventor
아핑 우
스코트 제프리 웨이글
토마스 알버트 브레이머
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20100067640A publication Critical patent/KR20100067640A/ko
Application granted granted Critical
Publication of KR101179167B1 publication Critical patent/KR101179167B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B5/00Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
    • B32B5/18Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by features of a layer of foamed material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/08Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances quartz; glass; glass wool; slag wool; vitreous enamels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24496Foamed or cellular component

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)

Abstract

본 발명은 OSG 막을 화학물질, 예컨대, 제한되는 것은 아니지만, 산화제로 처리하거나, OSG 막을 자외선 광을 포함하는 에너지원에 노출시키거나, OSG 막을 화학물질로 처리하고 OSG 막을 에너지원에 노출시켜 유기실리케이트(OSG) 막 내부의 일부 또는 전부의 탄소-함유종을 제거하는 방법에 관한 것이다.
유기실리케이트, OSG 막

Description

유기실리케이트 물질로부터 탄소를 제거하는 방법{METHOD FOR REMOVAL OF CARBON FROM AN ORGANOSILICATE MATERIAL}
본 출원은 2008년 12월 11일에 출원된 미국 가출원 제61/121,666호의 우선권을 주장한다.
본 발명은 유기실리케이트 (OSG) 물질 또는 막 내부의 특정 탄소종(carbon species)을 제거하기 위한 방법에 관한 것이다. 보다 구체적으로, 본 발명은, 망상-종결(network-terminating) 탄소기라고 불리우는 Si 원자에 공유결합된 대부분의 메틸기가 유지되는 동안 다공성, 저 유전상수 OSG 물질 또는 막 내부에 함유된 일부 또는 전부의 탄소-함유종 예컨대, 제한되는 것은 아니지만, 공정 단계로부터의 비-망상 탄소, 탄소-함유 잔류물, 및/또는 Si-CH2-Si 브릿지 기(bridge group)를 선택적으로 제거하는 방법에 관한 것이다. 탄소-함유종이 제거되지 않은 OSG 물질 또는 막과 비교할 때, 일부 또는 전부의 탄소-함유종을 제거함에 의해 OSG 물질 또는 막의 하나 이상의 하기의 특성, 유전상수, 기계적 강도, 굴절률, 또는 이들의 조합이 개선될 수 있다.
전자 산업은 집적 회로(IC)의 회로 및 구성 요소와 조합된 전기 장치 사이에 절연막으로서 유전 물질을 사용한다. 라인 디멘젼은 극소 전자 장치(예를 들어, 컴퓨터 칩)의 스피드 및 메모리 저장 용량을 증가시키기 위해 감소되어 왔다. 라인 디멘젼이 감소되는 만큼, 층간 유전체(ILD)에 대한 절연 요구가 더욱 엄격하게 되었다. 수축된 간격은 RC 시간 상수를 최소화하기 위해 저 유전상수를 필요로 하는데, 여기서 R은 전도성 배선의 저항이며 C는 층간 절연 유전체(insulating dielectric interlayer)의 커패시턴스이다. C는 간격에 반비례하며 층간 유전체(OLD)의 유전상수(k)에 비례한다. 일반적인 실리카(SiO2) CVD 유전체 막은 SiH4 또는 TEOS (Si(OCH2CH3)4, 테트라에틸오르쏘실리케이트)로부터 제조되며 02는 4.0의 유전상수(k)를 갖는다.
당업계가 더욱 낮은 유전상수를 갖는 실리케이트계 CVD 막을 제조하기 위해 시도한 여러 가지 방법이 있다. 더욱 낮은 유전상수 막을 제조하기 위한 한 유용한 방법은 유기기로 실리콘 산화막을 도프(dope)하는 것이다. 형성된 막은 2.7 내지 3.5 범위의 유전상수를 가질 수 있다. 여기서 유기실리케이트 ("OSG") 막으로 불리우는 이들 도프된 막은 일반적으로 유기실리콘 전구체 및 산화제로부터 고밀도의 막(밀도 ~ 1.5 g/cm3)으로 증착된다.
OSG 막은 화학적 기상 증착 (CVD) 공정에 의해 증착될 수 있다. 일반적인 CVD 공정에서, 전구체 기체는 반응 챔버로 흘러들어가고, 활성화되며, 물질이 챔버 내부의 기판에 증착된다. 전구체의 활성화는 에너지원 예컨대, 열 또는 RF-결합 플라즈마원을 사용하여 일어날 수 있다. OSG 물질의 화학적 기상 증착은 다양한 전구체를 사용하여 이루어질 수 있다. 일반적으로 사용되는 전구체의 예들은 메틸기를 함유한 유기실란, 예컨대 테트라메틸실란, 디메틸디메톡시실란, 디에톡시메틸실란, 옥타메틸사이클로테트라실록산, 및/또는 테트라메틸사이클로테트라실록산이다. 플라즈마-증대 화학적 기상 증착(PECVD)이 메틸-함유 유기실란을 반응시켜 OSG 물질을 형성하는데 가장 일반적으로 사용되는 CVD 공정이다. 만약 막이 다공성으로 이루어졌다면 더욱 낮은 유전상수 값이 얻어질 수 있겠지만, PECVD 공정에 의해 제조된 OSG 막은 일반적으로 10 내지 40%의 원자상 탄소 및 2.7 내지 3.2 범위의 유전상수를 함유한다. 더욱 높아진 장치 밀도 및 더욱 작아진 디멘젼 때문에 2.7 미만의 유전상수 값을 갖는 막에 대한 산업계의 요구가 커짐에 따라, 당업계는 개선된 절연 특성을 위해 다양한 다공성 물질로 방향을 전환하였다.
집적 회로 내의 층간 유전체로서 OSG 물질의 실시는 여러 장애물에 부딪쳤다. 한 주요 장애물은 전형적인 실리카(SiO2) 물질 상의 다공성 OSG 물질의 저하된 기계적 특성이다. ILD의 기계적 특성은 일반적으로 경도(H) 또는 영률로서 기가파스칼(GPa)에서 나노압입시험에 의해 기록된다. 경도가 막을 만입하는데 요구되는 작용력의 크기인 반면 영률은 작용력 또는 압축에 대한 물질의 탄성 반응이다. 실리카는 8 내지 10 GPa 범위로 정해질 수 있는 경도를 갖는다. 대조적으로, OSG 물질은 0.1 내지 5 GPa 범위로 정해질 수 있는 경도를 가지며, 이는 증착되는 물질의 유전상수 및 공정 조건에 의존한다. 기계적 강도가 이후의 공정 단계 예컨대 에 칭, 화학적 기계적 평탄화("CMP") 공정, 및 추가적인 층 예컨대 구리에 대한 확산 장벽, 구리 금속("Cu"), 및 제품 상의 보호층의 증착에 필요하다. 이들 공정 일부에서, 다중층의 온도 순환은 서로 다른 물질 사이에서 열팽창 계수의 미스매치에 기인하는 압력을 유도할 수 있고 이에 의해 크랙킹 또는 박리가 일어난다. 또한 표면 평면도가 요구되며 이는 공정 파라미터 예컨대 CMP를 통한 막 형성 공정 동안의 파라미터들을 조절함을 통해 유지될 수 있다. 기계적 안정성(integrity), 강도, 압축, 및 전단 강도가 CMP를 견디는데 특히 중요해질 수 있다. 이들 기계적 특성은 또한 최종 제품의 포장에서도 중요하다.
공기의 유전상수가 명목상 1.0이므로, 물질의 유전상수를 낮추기 위한 다른 시도는 다공성을 도입하거나 물질의 밀도를 저하시키는 것이 될 수도 있다. 다공성으로 제조된 유전체 막은 상대적으로 더욱 조밀한 막과 비교하여 더욱 낮은 유전상수를 나타낼 수 있다.
다공성은 다양한 서로 다른 방법을 통해 저 유전 물질 내로 도입될 수 있다. 예를 들어, 다공성은 막 일부의 분해에 의해 도입될 수 있으며 결과적으로 증가된 다공성 및 더욱 낮은 밀도를 갖는 막이 된다.
막으로 다공성을 도입하기 위한 문헌에서 광범위하게 사용되는 방법은 일부 또는 전부의 막을 분해하기 위한 열 어닐링이며 이에 의해 기공이 생성되고 궁극적으로 유전상수가 더욱 낮아진다. 막 내로 다공성을 도입하는 다른 방법은 자외선(UV) 광원으로 막을 노출하여 막 내에 함유한 일부 또는 전부의 포로젠(porogen)을 제거하는 것이다. 어닐링 단계, 또는 경화 단계에서, 막은 일반적으로 가열되 고/거나 UV 광원에 노출되어 휘발성 성분을 분해하고/거나 제거하며 실질적으로 막을 가교한다. 미국특허 제6,312,793호에서는 Si, C, 0, 및 H로 필수적으로 구성되는 제1상, C 및 H로 필수적으로 구성되는 제2상, 및 다수의 기공을 갖는 다중상(multiphasic) 물질을 기재하고 있다. 상기 물질은 300℃ 이상의 온도에서 15분 이상 가열하면 상들 중 하나의 제거가 일어난다. 공개 특허 출원 WO 제00/02241호에서는 알콕시실란 물질을 100 내지 400℃의 온도에서 1 내지 10분간 가열하여 그 안에 함유된 용매를 제거함에 의해 기공의 형성이 유발됨을 기재하고 있다. 공개 특허 출원 WO 제02/07191A2호에서는 실리카 제올라이트 박막을 350 내지 550℃ 범위의 온도에서 특정되지 않은 시간동안 가열하여 흡착된 물질이 제올라이트 구조에서 빠져 나가고 이로 인해 유전상수가 더욱 낮아짐을 기재하고 있다.
물질 내로 화학적으로 결합되는 유기물 또는 탄소-함유기의 양은 유전상수 및 기계적 강도 모두에 영향을 준다. 증착된 막은 망상 탄소-함유기 및 비-망상 탄소-함유기의 조합을 함유한다. 유기기를 함유하지 않은 SiO2 막과 비교할 때, OSG 막에 대해 관찰되는 기계적 강도 저하는 부분적으로 종결 유기기, 특히 실리콘 원자에 결합된 메틸기의 도입에 의한 실리카 망상 내의 붕괴에 의한 결과일 수 있다. 망상 붕괴를 나타내는 한 방식은 막 내의 탄소 원자의 수 대 막 내의 실리콘 원자의 수의 비율을 사용하는 것이며, 이는 여기서 C/Si 비율로 지칭된다. 막 내부에 유기기를 더욱 함유할 수록, 산소 브릿지를 통해 네 개의 다른 실리콘과 결합하는 실리콘 원자는 더욱 적어지고 상응하는 경도는 더욱 낮아질 수 있다. 그러 나, 막 내부에 너무 적은 유기기가 있는 경우, 유전상수에는 역효과가 될 수 있다. 결과적으로, 자체의 경도 감소로 인해 유전상수를 더욱 낮추기 위한 유기기 첨가의 이점은 막 내부의 유기기의 증가된 양과 함께 감소될 것이다.
따라서, 당업계에 저밀도 및 다공성 OSG 물질을 제조하기 위한 개선된 방법을 제공할 필요성이 있다. 따라서, 당업계에 다공성 유기실리케이트 막 내부에 함유된 일부 또는 전부의 탄소-함유종을 효과적으로 제거하고 이에 의해 막의 유전상수에 역효과를 미치지 않으면서도 적어도 막의 기계적 강도를 개선한 클리닝 조성물에 대한 요구가 있다.
발명의 요약
여기서 기재하는 것은 막을 화학물질, 예컨대, 제한되는 것은 아니지만, 산화제로 처리하거나, 막을 에너지원, 예컨대, 제한되는 것은 아니지만, 자외선 광에 노출시키거나, 막을 화학물질로 처리하고 상기 막을 에너지원에 노출시키는 것을 조합함에 의해 유기실리케이트(OSG) 막 내부의 일부 또는 전부의 탄소-함유종을 제거하는 방법이다. 일 구체예에서, 복합(composite) 유기실리케이트 막을 제공하는 단계(여기서 상기 복합 유기실리케이트 막은 하나 이상의 실리콘-함유 전구체 및 하나 이상의 포로젠 전구체를 포함하는 조성물로부터 증착되고 상기 복합 유기실리케이트 막은 탄소-함유종을 포함한다); 복합 유기실리케이트 막을 자외선 광을 포함하는 에너지원에 노출시키는 단계; 및 복합 유기실리케이트 막을 산화제를 포함 하는 화학물질로 처리하여 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하고, 다공성 유기실리케이트 막을 자외선 광을 포함하는 에너지원에 노출시켜 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하고 다공성 유기실리케이트 막을 제공하는 단계를 포함하는 다공성 유기실리케이트 막을 형성하는 방법이 제공된다.
다른 양태에서, 증기 증착을 통해 하나 이상의 실리콘-함유 전구체 및 하나 이상의 포로젠 전구체를 포함하는 조성물로부터 복합 유기실리케이트 막을 형성시키는 단계(여기서 상기 복합 유기실리케이트 막은 탄소-함유종을 포함한다); 복합 유기실리케이트 막을 화학물질로 처리하여 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하는 단계; 및 다공성 유기실리케이트 막을 자외선 광을 포함하는 에너지원에 노출시켜 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하고 다공성 유기실리케이트 막을 제공하는 단계를 포함하는 다공성 유기실리케이트 막을 형성하는 방법이 제공된다.
추가의 양태에서, 복합 유기실리케이트 막을 제공하는 단계(여기서, 복합 유기실리케이트 막은 탄소-함유종, 제 1 유전상수, 및 제 1 경도를 포함한다); 복합 유기실리케이트 막을 산화제를 포함하는 화학물질로 처리하여 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하는 단계; 및 복합 유기실리케이트 막을 자외선 광 및 임의의 열 에너지를 포함하는 에너지원에 노출시켜 내부의 일부 또는 전부의 탄소-함유종을 제거하고 제 2 유전상수 및 제 2 경도를 포함하는 다공성 유기실리케이트 막을 제공하는 단계(여기서 제 2 유전상수는 제 1 유전상수와 실질적으로 동일하거나 미만이고 제 2 경도는 제 1 경도보다 크다)를 포함하는 다공성 유기실 리케이트 막을 형성하는 방법이 제공된다.
발명의 상세한 설명
여기서 기재하는 것은 막을 화학물질, 예컨대, 제한되는 것은 아니지만, 산화제로 처리하거나, 막을 에너지원, 예컨대, 제한되는 것은 아니지만, 자외선 광에 노출시키거나, 막을 화학물질로 처리하고 상기 막을 에너지원에 노출시키는 것을 조합함에 의해 OSG 막 내부의 일부 또는 전부의 탄소-함유종을 제거하는 방법이다. 여기서 기재된 방법은 망상-종결 탄소기라고 불리우는 Si 원자에 공유결합된 대부분의 메틸기가 유지되는 동안 OSG 막으로부터 일부 또는 전부의 탄소-함유종을 선택적으로 제거한다. 여기서 사용된 용어 "탄소-함유종"은 OSG 막 내부에 존재하는 소정의 종들을 나타내는데, 이는 그 안에 함유된 일부 또는 전부의 포로젠 전구체; 다양한 공정 단계 예컨대, 제한되는 것은 아니지만, 막 증착(예를 들어, 복합막을 형성하는데 사용되는 하나 이상의 전구체로부터의 전구체 잔류물), 막 경화, 막 에칭, 막 애싱(ashing), 또는 이들의 조합으로부터의 탄소-함유 잔류물; 비-망상 탄소종; 및/또는 소정의 망상 탄소기 예컨대 Si-CH2-Si 브릿지 기이다. 여기에 기재된 방법에 의한 이들 탄소-함유종의 선택적인 제거는 예를 들어, 푸리에 변환 적외선 분광기(FTIR), X-선 광전자 분광기(XPS), 라만 분광기, 핵 자기 공명(NMR), 또는 다른 분석 기법을 통해 관찰될 수 있다. 복합 또는 다공성 OSG 내부의 일부 또는 전부의 탄소-함유종을 선택적으로 제거함에 의해, 다공성 막의 기계적 특성이 개선되는 동안 다공성 OSG 막의 유전상수가 유지되거나 감소될 수 있다. 소정의 화학물질로의 복합 또는 다공성 OSG의 처리는 기계적 특성 및 다공성 막의 망상-종결 탄소기에 역효과를 줄 수 있다고 생각되어 왔기 때문에, 이는 놀랍고도 예측할 수 없었던 것이다.
소정의 구체예에서, 처리 및/또는 노출 단계는 복합 OSG 막 상에서 수행된다. 이것 또는 다른 구체예에서, 처리 및/또는 노출 단계는 다공성 OSG 막 상에서 수행된다. 여기서 사용된 용어 "복합 유기실리케이트 막"은 하나 이상의 구조-형성체(structure-former) 전구체, 하나 이상의 포로젠 전구체를 포함하는 조성물로부터 증착되고, 탄소-함유종을 함유하는 OSG 막을 나타낸다. 여기서 사용된 용어 "다공성 유기실리케이트 막"은 기공을 포함하는 OSG 막을 나타낸다. 소정의 구체예에서, 다공성 OSG 막은 복합 OSG 막 내부에 함유된 일부 또는 전부의 포로젠 전구체를 제거함에 의해 제공된다. 일부 또는 전부의 포로젠 전구체는 예를 들어, 열 어닐링(anneal) 또는 경화(cure), 자외선 어닐링 또는 경화, 열 및 자외선 어닐링 또는 경화의 조합, 또는 복합 OSG 막 내부에 함유된 일부 또는 전부의 기공-형성 전구체의 제거를 위해 당업자에게 유용한 임의의 다른 방법에 의해 제거될 수 있다. 경화 단계 또는 단계들은 증착된 막(as-deposited film)으로부터 포로젠 전구체의 구성요소를 제거함에 의해 막 내부에 기공을 제공한다.
복합막의 조성물에 의존하는 소정의 구체예에서, 일부 탄소-함유종은 하나 이상의 경화 단계가 완결된 후 기공 시스템에 남아 있는 것으로 여겨진다. 이것 또는 다른 구체예에서, 막 내부에 탄소-함유종의 존재는 이후의 공정 단계 예컨대, 에칭 및 애싱 도중에 데미지로부터 막의 OSG 망상을 보호하기에 장점이 될 수 있다고 여겨질 수 있다. 그러나, 탄소-함유종의 존재는 또한, 유전상수의 전자성, 이온성, 또는 구조적 구성요소에 기여하는 종들의 인입으로 인해 막의 유전상수를 증가시킬 수 있고, 이로 인해 바람직한 유전상수를 얻기 위해 막에 추가적인 다공성이 도입될 필요가 있다. 막의 다공성이 증가될 수록, 막의 기계적 특성이 바람직하지 않게 저하될 수 있다. 망상-종결 탄소기(즉, 막에 소수성을 도입하는 Si-CH3)보다 일부 또는 전부의 원치 않는 탄소-함유종을 선택적으로 제거할 수 있다면, 원치 않는 탄소-함유종을 함유한 유사한 막과 비교할 때, 막 특성, 예컨대 제한되는 것은 아니지만, 유전상수 및 기계적 강도가 개선될 수 있다고 보인다.
처리 단계, 노출 단계, 또는 처리 및 노출 단계들의 조합은 제조 공정 동안에 다양한 순서로 수행될 수 있다. 처리 및 노출 단계 모두가 수행된 구체예에서, 처리 단계는 노출 단계 이전, 일부 또는 전체 노출 단계 동안, 또는 노출 단계 후에 수행될 수 있다. 일부 구체예에서는, 단지 화학물질로의 처리 단계만이 수행된다. 다른 구체예에서는, 단지 자외선 광을 포함하는 에너지원에 노출하는 단계만이 수행된다.
여기에 기재된 방법은 저 유전상수(즉, 4.0 이하) 유기실리케이트 글라스(OSG) 물질 및 이를 포함하는 막에 적합하다. 소정의 구체예에서, 저 유전상수 물질 또는 막은 구조-형성체 전구체, 예컨대 하나 이상의 실리카-함유 전구체, 및 하나 이상의 포로젠 전구체의 화학적 기상 증착에 의해 형성된다. 여기서 사용된 바와 같은 "포로젠"은 결과로서 생긴 물질 또는 막 내부에 공극 부피를 생성시키는데 사용되는 시약이다. 증착 공정 동안, 실리콘-함유 전구체 및 포로젠 전구체는 화학적으로 활성화되고 기판 표면상에 동시에 증착되어 복합 유기실리케이트 물질을 형성한다. 화학적 활성화의 결과로 인해, 포로젠 전구체는 중합되어 전구체 자체보다 더욱 높은 분자량의 종들을 형성할 것이다. 여기서 사용된 바와 같은 용어 "중합하다"는 고분자가 규칙적이거나 무작위 순서인 단량체 단위의 반복적인 첨가에 의해 형성되는 공정들뿐 아니라 전구체의 단량체 또는 올리고머가 플라즈마 및/또는 다른 에너지원에 의해 이온화되고 분절화된 후, 고분자가 이들 이온, 라디칼 및 분절의 반응/조합에 의해 형성되는 공정들을 나타낸다. 증착 후, 포로젠은 에너지원 예컨대, 제한되는 것은 아니지만, 열 처리, 제한되는 것은 아니지만 자외선 광, 전자 빔 또는 e-빔, 플라즈마, X-선, 또는 이들의 조합을 포함하는 광자 에너지의 도입에 의해 복합 유기실리케이트 물질로부터 제거될 수 있다. 일부 또는 전부의 포로젠을 제거하면 결과적으로 다공성 OSG 물질이 된다.
상술한 바와 같이, 다공성 OSG 물질은 하나 이상의 실리콘-함유 전구체 및 하나 이상의 포로젠 전구체를 사용하여 증착된다. 다공성 OSG 물질을 제공하는데 사용될 수 있는 실리콘-함유 전구체의 예들은, 제한되는 것은 아니지만, 트리에톡시실란, 트리(tert-부톡시)실란, 트리메톡시실란, 트리(터셔리)부톡시실란, 트리아세톡시실란, 테트라(터셔리)부톡시실란, 테트라에톡시실란, 테트라메톡시실란, 테트라아세톡시실란, 디에톡시메틸실란, 디메톡시메틸실란, 디(tert-부톡시)메틸실란, 메틸트리아세타톡시실란, 디메틸아세타톡시실란, 디메틸디아세톡시실란, 디메 틸디메톡시실란, 디메틸디에톡시실란, 메틸트리에톡시실란, 네오헥실트리에톡시실란, 네오펜틸트리메톡시실란, 디아세톡시메틸실란, 페닐디메톡시실란, 페닐디에톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 페닐메틸디메톡시실란, 1,3,5,7-테트라 메틸테트라사이클로실록산, 옥타메틸테트라사이클로실록산, 1,1,3,3-테트라메틸디실록산, 1-네오헥실-1,3,5,7-테트라메틸사이클로테트라실록산, 헥사메틸디실록산, 1,3-디메틸-l-아세톡시-3-에톡시디실록산, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란, 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,2-디메틸,1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,3-디메틸-1-아세톡시-3-에톡시디실록산,l,2-디메틸-l-아세톡시-2-에톡시디실란, 메틸아세톡시-t-부톡시실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 헥사메틸디실란, 테트라메틸디실란, 디메틸디실란, 또는 이들의 조합을 포함할 수 있다. 실리콘-함유 전구체들의 추가적인 예들은, 예를 들어, 미국특허 제7,122,880호, 제6,818,289호, 제6,896,955호, 제7,265,062호, 제6,312,793호, 제6,441,491호, 제6,479,110호, 제7,282,458호, 제7,288,292호, 및 제7,312,524호에서 제공된다. 하나 이상의 포로젠 전구체의 예들은, 제한되는 것은 아니지만, 알파-테르피넨, 리모넨, 사이클로헥산, 사이클로옥탄, 바이사이클로헥사디엔(BCHD), 감마-테르피넨, 캠펜, 디메틸헥사디엔, 에틸벤젠, 노르보나디엔, 사이클로펜텐 옥사이드, 1,2,4-트리메틸사이클로헥산, 1,5-디메틸-1,5-사이클로옥타디엔, 캠펜, 아다멘탄, 1,3-부타디엔, 치환된 디엔, 데카하이드로나프탈렌, 또는 이들의 조합을 포함한다. 한 구체예에서, 하나 이상의 포로젠 전구체는 1 내지 13개의 탄소 원자를 갖는 기체상(gaseous) 탄화수소이다. 포로젠 전구체들의 추가적인 예들은, 예를 들어, 미국특허 제6,846,515호, 제7,384,471호, 제6,312,793호, 제6,441,491호, 제6,479,110호, 제7,282,458호, 제7,288,292호, 및 제7,312,524호에서 제공된다.
유기실리케이트 막은 다양한 다른 방법들을 사용하여 전구체 혼합물로부터 일부 또는 전체의 기판상에 증착된다. 이들 방법들은 이들 자체 또는 조합에 의해 사용될 수 있다. 유기실리케이트 막을 제조하는데 사용될 수 있는 몇몇 공정들의 예들은 제한되는 것은 아니지만 아래의 방법들을 포함한다: 열 화학적 기상 증착, 플라즈마 증대 화학적 기상 증착 ("PECVD"), 고밀도 PECVD, 광자 보조(photon assisted) CVD, 플라즈마-광자 보조 ("PPECVD"), 극저온 화학적 기상 증착, 화학적 보조 기상 증착, 핫-필라멘트 화학적 기상 증착, 광 개시 화학적 기상 증착, 액체 고분자 전구체의 CVD, 초임계 유체로부터의 증착, 또는 이동 중합(transport polymerization)("TP"). 미국특허 제6,171,945호, 제6,054,206호, 제6,054,379호, 제6,159,871호 및 WO 제99/41423호는 여기서 기재된 유기실리케이트 막을 형성하는데 사용될 수 있는 몇몇 예시적인 CVD 방법들을 제공한다. 소정의 구체예에서, 증착은 100 내지 425℃, 또는 200 내지 425℃, 또는 200 내지 400℃의 온도 범위에서 수행된다. 비록 여기서 사용된 화학 시약이 때때로 "기체상"처럼 기재될 수 있지만, 화학 시약은 반응기로 기체로서 직접 운반될 수 있거나, 기화된 액체로서 운반될 수 있거나, 액체로 직접 주입하거나, 반응기 내로 고체가 승화되고/거나 불활성 운반 기체에 의해 운반될 수 있다고 이해된다.
소정의 구체예에서, 유기실리케이트 막은 플라즈마 증대 화학적 기상 증착 공정을 통해 형성된다. PECVD 공정을 간단히 설명하면, 화학 시약이 반응 챔버 예컨대 진공 챔버로 흘러들어가고 플라즈마 에너지가 화학 시약을 활성화시키고 이에 의해 일부 또는 전체의 기판상에 막을 형성한다. 이들 구체예에서, 유기실리케이트 막은 전구체 및 하나 이상의 포로젠 전구체를 함유한 하나 이상의 실리콘을 포함하는 기상 혼합물의 동시 증착, 또는 선택적인 순차적 증착에 의해 형성될 수 있다. 소정의 구체예에서, 시약에 적용되는 플라즈마 에너지는 0.02 내지 7 watts/cm2, 또는 0.3 내지 3 watts/cm2의 범위가 될 수 있다. 각 시약의 흐름 속도는 10 내지 5000, 또는 100 내지 1,000, 또는 100 내지 500 분당 표준 입방 센티미터(sccm-l)의 범위가 될 수 있다. 이들 구체예에서, PECVD는 13.56 MHz의 주파수에서 축전 결합(capacitively coupled) 플라즈마를 사용하여 수행될 수 있다. PECVD 공정에 대한 증착 동안 진공 챔버 내의 압력값은 0.01 내지 600 torr, 또는 1 내지 10 torr의 범위가 될 수 있다. 소정의 구체예에서, 증착은 100 내지 425℃, 또는 200 내지 425℃의 온도 범위에서 수행된다. 이들 또는 다른 구체예에서, 운반 기체가 증착 공정에 사용되는데, 이는 저 이온화 에너지를 가져 플라즈마에서 전자 온도를 더욱 낮추고, 차례로 혼합물 내의 실리콘-함유 전구체(들)의 분절화를 감소시킬 것이다. 저 이온화 에너지 운반 기체의 예들은 CO2, NH3, CO, CH4, Ar, Xe, Kr을 포함한다. 그러나, 공정 파라미터 예컨대 플라즈마 에너지, 흐름 속도, 및 압력은 수많은 인자 예컨대 기판의 표면적, 증착 공정에서 사용되는 전구체, PECVD 공정에서 사용되는 장치 등에 의존하여 변화될 수 있다.
에너지는 전구체 혼합물에 적용되어 반응을 유도하고 기판상에 복합 OSG 막을 형성한다. 이러한 에너지는 제한되는 것은 아니지만, 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 및 리모트 플라즈마 방법에 의해 제공될 수 있다. 소정의 구체예에서, 제 2 RF 주파수 원(source)이 기판 표면에서 플라즈마 특성을 변환하는데 사용될 수 있다.
여기서 언급된 방법의 다른 구체예에서, 복합막은 스핀-온 증착 공정에 의해 일부 또는 전체의 기판상으로 증착될 수 있다. 이들 증착 공정들의 예들은 예를 들어, 미국특허 제7,122,880호, 제6,818,289호, 제6,896,955호, 및 제7,265,062호에서 제공된다.
상술한 바와 같이, 여기서 기재된 방법은 막을 화학물질, 예컨대, 제한되는 것은 아니지만, 산화제로 처리하거나, 막을 에너지원, 예컨대, 제한되는 것은 아니지만, 자외선 광에 노출시키거나, 막을 화학물질로 처리하고 상기 막을 에너지원에 노출시키는 것을 조합함에 의해 유기실리케이트(OSG) 막 내부의 일부 또는 전부의 탄소-함유종을 제거한다. 본 방법은 복합막, 다공성 막, 또는 복합 및 다공성 막 모두에 사용될 수 있다. 소정의 구체예에서, 하나 이상의 포로젠 전구체가 경화 단계에 의해 증착 또는 복합막으로부터 제거되고, 이는 열 어닐링, 화학적 처리, 인 시투(in-situ) 또는 리모트 플라즈마 처리, 광경화 및/또는 마이크로웨이빙을 포함할 수 있다. 다른 인 시투 또는 증착 후 처리가 경도, 안정성(수축, 공기 노 출, 에칭, 습식 에칭, 습식 세척, 애싱, CMP 공정, 등에 대한), 복합가능성(integrability), 균일성 및 응착력과 같은 물질 특성을 강화하는데 사용될 수 있다. 이러한 처리는 포로젠 제거를 위해 사용되는 동일하거나 다른 수단을 사용하여 포로젠 제거 이전, 동안 및/또는 후에 복합 OSG 막에 적용될 수 있다. 이들 처리가 수행되는 조건은 크게 변화할 수 있다. 예를 들어, 이들 처리는 고압, 진공, 대기 조건, 또는 이의 변동 하에 수행될 수 있다.
소정의 구체예에서, 복합 OSG 막은 어닐링 또는 경화 단계를 거쳐 그 안에 함유된 일부 또는 전부의 포로젠 전구체를 제거하고 다공성 막을 제공한다. 이들 구체예에서, 어닐링 단계는 아래의 조건에서 수행된다. 주변 환경은 불활성(예를 들어, 질소, C02, 불활성 기체(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석된 산소 환경, 풍부한 산소 환경, 오존, 아산화질소, 등) 또는 환원성(희석 또는 농축된 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족), 등)이 될 수 있다. 압력은 약 1 Torr 내지 약 1000 Torr, 또는 대기압의 범위가 될 수 있다. 그러나, 열 어닐링뿐 아니라 임의의 다른 경화 후 방법에 대해서는 진공 환경도 가능하다. 온도는 200-500℃의 범위가 될 수 있다. 온도 증가 속도(ramp rate)는 0.1 내지 100℃/분의 범위가 될 수 있다. 온도는 주변 대기 온도(예를 들어, 25℃) 내지 500℃의 범위가 될 수 있다. 압력은 10 mtorr 내지 대기압의 범위가 될 수 있다. 전체 경화 시간은 0.01분 내지 12시간의 범위가 될 수 있다.
상술한 바와 같이, 여기서 기재된 방법은 복합 또는 다공성 막에 함유된 일 부 또는 전부의 탄소-함유종을 선택적으로 제거함에 의해 적어도 복합막, 다공성 막, 또는 둘 모두의 기계적 강도를 개선할 수 있다. 상기 방법은 또한 다공성 OSG 막의 유전상수를 복원하거나 감소시킬 수도 있다. 소정의 구체예에서, 복합 또는 다공성 OSG 막은 하나 이상의 화학적 처리과정을 거친다. 용어 "화학적 처리"는 일반적으로 하나 이상의 화학물질 또는 화학적 조성물에 막을 노출시키는 것에 관한 것이다. 이러한 처리에서 사용되는 화학물질은 다양한 유체 상태 예컨대 승화된 고체, 증기, 액상, 기상, 에어로졸, 초임계 유체 상태, 또는 이들의 조합이 될 수 있다. OSG 막을 처리하는데 사용될 수 있는 화학물질의 예들은 제한되는 것은 아니지만, 불화된 화학물질(예를 들어, HF, SiF4, NF3, F2, COF2, C02F2); 산화성 화학물질(예를 들어, H202, 03, 오존수(03/H20); 환원성 화학물질(예를 들어, 하이드라진, 철 화합물, 하이드라이드(LiAIH4, NaBH4, 디이소부틸알루미늄 하이드라이드), 주석 화합물, 설파이트 화합물, 옥살산, 탄화수소, 일산화탄소, 수소, 수소 원자(예를 들어, 플라즈마, 리모트 플라즈마, 핫 필라멘트, 또는 다른 에너지원으로부터 제조된); 황산 퍼옥사이드 혼합물("SPM"); 화학적 건조; 메틸화; 또는 최종 물질의 특성을 강화하는 다른 화학적 처리를 포함한다. 복합 또는 다공성 OSG 막을 처리하는데 사용될 수 있는 화학물질의 추가적인 예들은 제한되는 것은 아니지만, 물, 알코올, 알데히드, 케톤, 에스터, 아미드, 글리콜, 글리콜 에테르, 에테르, 에폭사이드, 아민, 또는 이들의 혼합물을 포함한다. 용매의 특정한 예들은 사이클로헥산온, 2-헥산온, 2-펜탄온, 1-펜탄올, 1-부탄올, 2-프로판올, 프로필렌 글리콜 프로필 에테르, 프로필렌 글리콜 모노메틸 아세테이트, 에틸 락테이트, 펜틸 아세테이트, 프로필렌 글리콜, 프로필렌 글리콜 모노메틸 에테르, N,N-디메틸포름아미드, 또는 이들의 혼합물을 포함한다. 용매가 사용되는 구체예에서, 화학물질은 첨가제 예컨대, 예를 들어, 촉매, 흐름 촉진제, 습식제(wetting agent), pH 조절제, 부식 억제제, 이온 강도 조절제, 또는 계면활성제를 포함할 수 있다. 복합 또는 다공성 OSG 막을 처리하는데 사용될 수 있는 화학물질의 다른 예들은 스트리핑 또는 클리닝 조성물, 예컨대 제한되는 것은 아니지만, 미국특허 제4,770,713호, 제5,279,771호, 제5,419,779호, 제5,417,877호, 제5,597,420호, 제5,997,658호, 제6,677,286호, 제6,828,289호, 제6,943,141호, 제6,943,142호, 제6,951,710호, 및 미국특허공보 제2004/0063042호, 제2005/0119143호, 제2006/0014656호, 제2006/0016785호, 제2008/0199977호, 및 제2005/0196974호, 제2006/0003910호, 및 제2007/0299239호에서 발견되는 조성물들을 포함한다. 한 특정 구체예에서, 복합 또는 다공성 OSG 막은 산화제를 포함하는 화학물질로 처리된다. 예시적인 산화제 화학물질은, 제한되는 것은 아니지만, 산소(02), 오존(03), 오존수(O3/H20), SPM, 산소 원자, 02 또는 03의 라디칼, 02 또는 03의 전하종, 또는 이들의 조합을 포함한다. 오존수는 물을 통해 03 기체를 버블링함에 의해 제조될 수 있다. SPM 용액은 일반적으로 반도체 산업에서 유기물질을 제거하기 위해 사용된다. 이들 화학물질은 그 자체 또는 여기에서 언급되거나 당업계에 공지된 다른 화학물질과 함께 사용될 수 있다. 이로 제한되는 것은 아니지만, 표 1은 오존, 오존수 및 SPM을 포함하는 산 화제에 대한 시간, 온도, 및 압력에 관한 특정 처리 조건의 여러 가지 예들을 제공한다.
표 1: 예시적인 화학적 처리 조건
Figure 112009076773812-PAT00001
이론으로 정립된 것은 아니지만, 산화제를 포함하는 화학물질은 복합 또는 다공성 막 내부에 함유된 일부 또는 전부의 탄소-함유종과 반응하여 공유결합된 Si-CH3 기 또는 망상 종결기(FTIR 및 XPS에 의해 증명되는 것처럼)를 파괴하지 않은 채 추가적인 탄소-함유 부산물을 형성하는 것으로 보인다. 추가적인 탄소-함유 부산물은 추가적인 화학적 처리 단계, 에너지원으로의 노출, 또는 이들의 조합에 의해서 제거될 수 있다. 여기서 기재된 방법의 한 구체예에서, 복합막은 자외선 광을 포함하는 에너지원에 노출되어 탄소-함유종을 함유한 다공성 막을 제공하고, 상기 다공성 막은 산화제를 포함하는 하나 이상의 화학물질로 처리되어 일부 또는 전부의 탄소-함유종을 제거하지만 추가적인 탄소-함유 부산물을 형성하지는 않으며, 상기 다공성 막은 다음에 자외선 광을 포함하는 에너지원으로 처리되어 잔여 탄소-함유종, 탄소-함유 부산물, 및/또는 화학적 처리에 기인하는 임의의 결손을 제거한다. 여기서 기재된 방법의 다른 특정 구체예에서, 복합막은 막은 산화제를 포함하는 하나 이상의 화학물질로 처리되어 일부 또는 전부의 탄소-함유종을 제거하지만 추가적인 탄소-함유 부산물을 형성하지는 않으며, 다음에 자외선 광을 포함하는 에너지원으로 처리되어 잔여 탄소-함유종, 탄소-함유 부산물, 및/또는 화학적 처리에 기인하는 임의의 결손을 제거한다.
소정의 구체예에서, 복합 또는 다공성 OSG 막은 자외선 광(UV)을 포함하는 에너지원에 노출된다. 용어 "자외선 광"은 제한되는 것은 아니지만, 적외선(IR) 광, 가시광, 근(near) UV, 중간(middle) UV, 원(far) UV, 진공 UV, 극(extreme) UV 또는 이들의 조합을 포함할 수 있다. 소정의 구체예에서, UV 광은 10 나노미터(nm) 내지 400 nm 범위에서 하나 이상의 파장을 갖는다. 자외선 광은 분산, 포커스, 연속적인 웨이브, 펄스화, 또는 셔터될 수 있다. 자외선 광에 대한 소스는, 제한되는 것은 아니지만, 엑시머 레이저, 배리어 방전 램프, 수은 램프, 마이크로파-발생 UV 램프, 레이저 예컨대 IR 또는 가시광선 영역에서 2배 주파수 또는 3배 주파수 레이저, 또는 가시광선 영역에서 레이저로부터 이광자 흡수를 포함한다. 자외선 광원은 복합막으로부터 50 밀리인치 내지 1,000 피트의 범위인 거리에 위치될 수 있다. 주변 환경은 불활성(예를 들어, 질소, C02, 불활성 기체(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석된 산소 환경, 풍부한 산소 환경, 오존, 아산화질소, 등) 또는 환원성(희석 또는 농축된 탄화수소, 수소, 등) 또는 이들의 조합이 될 수 있다. 전원은 0 내지 5000 Watts(W) 또는 100 내지 5000 W의 범위가 될 수 있다. 온도는 대기 온도 내지 500℃, 또는 50 내지 400℃의 범위가 될 수 있다. 압력은 10 mtorr 내지 대기압의 범위가 될 수 있다. 전체 경화 시간은 0.01분 내지 12시간 또는 0.5분 내지 60분의 범위가 될 수 있다.
복합 또는 다공성 OSG 막이 자외선 광(UV)을 포함하는 에너지원에 노출되는 한 구체예에서, 복합 또는 다공성 OSG 막은 소스 내의 하나 이상의 특정 파장 또는 넓은 스펙트럼의 파장에 노출될 수 있다. 예를 들어, 복합막은 하나 이상의 특정 파장의 광 예컨대 레이저 및/또는 광 포커스된(optically focused) 광원을 통한 광에 노출될 수 있다. 후자의 구체예에서, 복사 광원은 광학기기 예컨대 렌즈(예를 들어, 볼록, 오목, 실린더형, 타원, 스퀘어 또는 파라볼릭 렌즈), 필터(예를 들어, RF 필터), 윈도우(예를 들어, 글라스, 플라스틱, 융합 실리카, 복합 실리카, 실리케이트, 칼슘 플루오라이드, 리튬 플루오라이드, 또는 마그네슘 플루오라이드 윈도우) 또는 미러를 통해 통과될 수 있으며 특정되고 포커스된 파장의 광을 제공한다. 이들 구체예에서, 비-반응성 기체는 일부 또는 전체 노출 단계 동안 광학기기 상에 흐를 수 있으며 기공-형성 단계 동안 가스 방출에 의해 형성되는 광학기기의 표면상의 축적의 형성을 방지한다. 택일적으로, 복사 광원은 임의의 광학기기를 통과하지 않는다.
자외선 광 외에, 복합 또는 다공성 OSG 막은 제한되는 것은 아니지만 열 에너지, α-입자, β-입자, γ-선, x-선, 전자빔(e-빔), 가시광, 적외선 광, 마이크로파, 라디오-주파수 파장, 또는 이들의 조합을 포함하는 하나 이상의 추가적인 에너지원에 노출될 수 있다.
노출 단계는 복합막을 형성하는데 사용된 공정에 의존하여 다양한 세팅에서 수행될 수 있다. 적어도 일부의 복합막 형성 단계 후 또는 심지어 단계중에 수행 되는 것이 노출 단계의 장점이 될 수 있다. 노출 단계는 다양한 세팅 예컨대, 제한되는 것은 아니지만, 석영 용기, 개조된 증착 챔버, 컨베이어 벨트 공정 시스템, 핫 플레이트, 진공 챔버, 클러스터 툴, 단일 웨이퍼 기구, 뱃치(batch) 프로세싱 기구, 또는 회전식 십자문에서 수행될 수 있다.
소정의 구체예에서, 복합 또는 다공성 OSG 막은 플라즈마 처리된다. 이들 구체예에서, 플라즈마 처리는 아래의 조건에서 수행된다. 주변 환경은 불활성(예를 들어, 질소, C02, 불활성 기체(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석된 산소 환경, 풍부한 산소 환경, 오존, 아산화질소, 등) 또는 환원성(희석 또는 농축된 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족), 등)이 될 수 있다. 플라즈마 파워는 0-5000 W의 범위가 될 수 있다. 온도는 대기 온도 내지 500℃의 범위가 될 수 있다. 압력은 10 mtorr 내지 대기압의 범위가 될 수 있다. 전체 경화 시간은 0.01분 내지 12시간의 범위가 될 수 있다.
소정의 구체예에서, 복합 또는 다공성 OSG 막은 마이크로파 후-처리된다. 이들 구체예에서, 마이크로파 후-처리는 아래의 조건에서 수행된다. 주변 환경은 불활성(예를 들어, 질소, C02, 불활성 기체(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석된 산소 환경, 풍부한 산소 환경, 오존, 아산화질소, 등) 또는 환원성(희석 또는 농축된 탄화수소, 수소, 등)이 될 수 있다. 온도는 대기 온도 내지 500℃의 범위가 될 수 있다. 파워 및 파장은 특정 결합을 위해 변환되거나 조절될 수 있다. 전체 경화 시간은 0.01분 내지 12시간의 범위가 될 수 있 다.
소정의 구체예에서, 복합 또는 다공성 OSG 막 전자빔 후-처리된다. 전자빔 처리의 사용은 매트릭스에서 결합-형성 공정을 통해 포로젠 제거 및 막 기계적 특성의 증대를 제공할 수 있다. 이들 구체예에서, 전자빔 후-처리는 아래의 조건에서 수행된다. 주변 환경은 진공, 불활성(예를 들어, 질소, C02, 불활성 기체(He, Ar, Ne, Kr, Xe), 등), 산화성(예를 들어, 산소, 공기, 희석된 산소 환경, 풍부한 산소 환경, 오존, 아산화질소, 등) 또는 환원성(희석 또는 농축된 탄화수소, 수소, 등)이 될 수 있다. 온도는 대기 온도 내지 500℃의 범위가 될 수 있다. 전자 밀도 및 에너지는 특정 결합을 위해 변환되거나 조절될 수 있다. 전체 경화 시간은 0.001분 내지 12시간의 범위가 될 수 있으며, 연속적 또는 펄스화될 수 있다. 소정의 전자빔 처리의 예들은 아래의 문헌에서 제공된다: S. Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330; G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국특허 제6,207,555 B1호, 제6,204,201 B1호 및 제6,132,814 A1호.
소정의 구체예에서, 여기에 기재된 막은 다공성이다. 이들 구체예에서, 막의 전체 다공성은 공정 조건 및 바람직한 최종 막 특성에 의존하여 5 내지 75%가 될 수 있다. 다공성 막 내부의 평균 크기는 약 1 Å 내지 약 500 Å, 또는 약 1 Å 내지 약 100 Å, 또는 약 1 Å 내지 약 50 Å의 범위이다. 막은 좁은 크기 범위의 기공을 갖고 기공은 막에 걸쳐 균일하게 분포되는 것이 바람직하다. 그러나, 막의 다공성은 막에 걸쳐 균일하게 분포될 필요는 없다. 소정의 구체예에서, 다공성 기울기(gradient) 및/또는 변화된 다공성의 층이 있다. 이러한 막은 예를 들어, 복합막의 형성 동안에 기공-형성 전구체 대 구조 형성 전구체의 비를 조절함에 의해 제공될 수 있다. 막의 다공성은 연속적 또는 비연속적 기공을 가질 수 있다.
여기서 기재된 방법의 소정의 구체예에서, 기공 크기는 화학적 처리, 에너지원에 노출, 및 이들의 병행 후에 크기가 증가될 수 있다. 여기서 기재된 방법의 다른 구체예에서, 기공 크기는 기공 크기는 화학적 처리, 에너지원에 노출, 및 이들의 병행 후에 크기가 작아질 수 있다. 이러한 기공 크기의 변화는 예를 들어, 편광법에 의해 측정될 수 있다. 여기서 기재된 막은 엘립소메터에 의해 240 나노미터에서 측정된 0 내지 0.03 또는 0 내지 0.025 범위의 소멸 계수를 가질 수 있다.
다른 구체예에서, 여기서 기재된 막은 다공성이 아니다.
여기서 기재된 막은 통상의 OSG 물질이 비해 더욱 낮은 유전상수를 갖는다. 소정의 구체예에서, 여기서 기재된 막은 약 3.0 또는 미만, 또는 약 2.8 또는 미만, 또는 약 2.7 또는 미만의 유전상수를 갖는다. 한 특정 구체예에서, 막에 대한 유전상수는 1.2 내지 2.5의 범위이다.
막은 다양한 용도에 적합하다. 막은 반도체 기판상의 증착에 특히 적합하고, 특히, 예를 들어, 절연층, 층간 유전체 층 및/또는 금속간 유전체 층으로서 사용하기에 적합하다. 막은 등각의 코팅을 형성할 수 있다. 이들 막에 의해 나타내어지는 특성은 그들을 Al 감법 기술(subtractive technology) 및 Cu 상 감(damascene) 또는 이중 상감 기술에 특히 적합하게 한다.
여기에 기재된 방법의 바람직한 구체예에서, 복합 OSG 막 또는 다공성 OSG 막은 기판상에 증착된다. 적합한 기판은, 제한되는 것은 아니지만, 반도체 물질 예컨대 갈륨 비소("GaAs"), 실리콘, 및 실리콘 함유 조성물 예컨대 결정 실리콘, 폴리실리콘, 무정형 실리콘, 에피택셜 실리콘, 실리콘 디옥사이드("SiO2"), 실리콘 글라스, 실리콘 나이트라이드, 용융 실리카, 글라스, 석영, 보로실리케이트 글라스, 또는 이들의 조합을 포함한다. 다른 적합한 물질은 크롬, 몰리브데늄, 또는 일반적으로 반도체, 집적 회로, 평판 디스플레이, 및 플렉서블 디스플레이 어플리케이션에 사용되는 다른 금속들을 포함한다. 기판은 추가적인 층들 예컨대, 예를 들어, 실리콘, SiO2, 유기실리케이트 글라스(OSG), 불화 실리케이트 글라스 (FSG), 보론 카보나이트라이드, 실리콘 카바이드, 수소화 실리콘 카바이드, 실리콘 나이트라이드, 수소화 실리콘 나이트라이드, 실리콘 카보나이트라이드, 수소화 실리콘 카보나이트라이드, 보로나이트라이드, 유기-무기 복합 물질, 포토레지스트, 유기 고분자, 다공성 유기 및 뮤기 물질 및 복합물, 산화금속 예컨대 알루미늄 옥사이드, 및 게르마늄 옥사이드를 가질 수 있다. 또한, 추가적인 층들은 게르마노실리케이트, 알루미노실리케이트, 구리 및 알루미늄, 및 확산 배리어 물질 예컨대, 제한되는 것은 아니지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN이 될 수도 있다. 막은 통상의 인발 시험(pull test) 예컨대 ASTM D3359-95a 테이프 인발 시험을 통과하기에 충분할 정도로 하나 이상의 이전 물질에 접착될 수 있다. 막의 제거를 인식할 수 없는 경우 샘플은 시험을 통과한 것으로 간주된다.
OSG 막 내부의 일부 또는 전부의 탄소-함유종을 제거하는 여기에 기재된 방법을 사용함에 의해, OSG 막의 굴절률 및 소멸계수는 산화제를 포함하는 화학물질, 자외선 광원을 포함하는 에너지원, 및 이들의 조합으로 처리하지 않은 OSG 막과 비교할 때, 상당히 감소된다. 이들 막의 물리적 특성은 산화제를 포함하는 화학물질로 처리하고 UV 광을 포함하는 에너지원에 노출시킨 후에 상당히 개선된다. 한 특정 구체예에서, 복합 OSG 막은 제 1 유전상수, 제 1 경도, 및 제 1 모듈러스를 갖는다. 다음에 복합 OSG 막은 산화제 화학물질로 처리되고 자외선 광 및 임의적으로 열 에너지를 포함하는 에너지원에 노출되고 그 안의 일부 또는 전부의 탄소-함유종이 제거되며 제 2 유전상수, 제 2 경도, 및 제 2 모듈러스를 갖는 다공성 유기실리케이트를 제공한다. 다공성 유기실리케이트의 제 2 유전상수는 실질적으로 제 1 유전상수와 동일하거나 미만이며 다공성 유기실리케이트의 제 2 경도 및 제 2 모듈러스는 제 1 경도보다 크다.
비록 여기서 기재된 방법이 막을 제공하기에 특히 적합하고 방법의 제조물이 여기서는 대부분 막으로서 기재되었지만, 본 발명은 이에 한정되지 않는다. 어떤 경우에는 용어들 "OSG 막" 및 "OSG 물질"이 상호변환되어 사용될 수 있음을 유념해야 한다. 여기에 기재된 방법의 제조물은 CVD에 의해 증착될 수 있는 임의의 형태, 예컨대 코팅, 다중층 어셈블리, 및 평면 또는 얇을 필요가 없는 다른 타입의 물체 또는 물질, 및 집적 회로에 사용될 필요가 없는 다수의 물체 또는 물질에서 제공될 수 있다.
여기서 기재된 방법은 아래의 실시예를 참고로 더욱 자세히 설명될 것이지만, 이로 제한되지 않음을 유념하여야 한다.
실시예
비록 여기서 기재된 방법은 임의의 유전체 막에서도 수행될 수 있지만, 아래의 예들은 PDEMSTM 2.5 ATRP 막을 사용한다. 여기서 사용된 바와 같은, 명칭 "PDEMSTM 2.5 ATRP 막"은 약 2.5의 유전상수를 갖는 저 유전체 막을 나타낸다. 실리카-함유 전구체로서 알렌 타운, PA의 에어 프로덕츠사에 의해 제공된 디에톡시 메틸실란(DEMS) 및 포로젠 전구체로서 에어 프로덕츠사에 의해 제공된 알파-테르피넨(ATRP)을 사용하여 어드밴스 에너지 200 rf 제너레이터와 맞는 200 mm DxZ 진공 챔버에서 어플라이드 머테리얼 프리시즌-5000 시스템을 사용하고 도프되지 않은 TEOS 공정 키트를 사용한 플라즈마 증대 CVD(PECVD) 공정을 통해 상기 막을 증착하였다. PDEMSTM 2.5 ATRP 막을 전체가 참조에 의해 여기에 포함되는 미국특허 제6,846,515호에 기재된 공정에 따라 제조하였다.
아래의 실시예에서, 기재되지 않았다면, 특성은 중강도(8-12 Ωcm) 단결정 실리콘 웨이퍼 기판상에 증착되는 샘플 막으로부터 얻어진다.
각각의 막의 두께, 막 굴절률, 및 240 nm에서의 소멸 계수는 SCI 필름텍 2000 반사계에서의 반사 측정에 의해 측정하였다.
각각의 샘플 막의 유전상수를 ASTM 표준 D150-98에 따라 측정하였다. 각각 의 막의 커패시턴스-전압을 솔라트론 모델 SI 1260 주파수 분석기 및 MSI 일렉트로닉스 모델 Hg 401 싱글 컨택트 머큐리 프로브로 1 MHz에서 얻었다. 커패시턴스 측정 및 수은 전극 영역(A)에서의 오차는 1% 미만이었다. 기판(웨이퍼) 커패시턴스(Csi), 백그라운드 커패시턴스(Cb) 및 전체 커패시턴스(CT)를 +20 내지 -20 볼트에서 측정하고 박막 샘플 커패시턴스(Cs)를 하기 식(1)에 의해 계산하였다:
Cs = CSi(CT - Cb)/[CSi - (CT - Cb)] 식(1)
각각의 막의 유전상수를 식(2)에 의해 계산하였다(여기서 d는 막 두께이고, A는 수은 전극 영역이고, ε0는 진공에서의 유전상수이다):
Figure 112009076773812-PAT00002
식(2)
막의 유전상수의 전체 오차는 6% 미만으로 예측되었다.
각각의 막에 대한 탄성 모듈러스를 웨이퍼의 중심으로부터 잘라내고 밸리 코테이지, N.Y의 암코 프로덕츠사에 의해 제조된 저-용해 온도 접착제, CRYSTALBOND®를 사용하여 알루미늄 스텁(stub) 상에 위치시킨 1×0.4 cm2 샘플로부터 얻었다. 압입 시험을 참조에 의해 여기에 포함되는 문헌[Oliver et al., "An improved technique for Determining Hardness and Elastic Modulus Using Load and Displacement Sensing Indentation Experiments", J. Material Research, 1992, 7 [6], pp. 1564-1583]에 기재된 연속적 강도 측정("CSM") 방법을 사용하여 ACCUTIPTM 버코비치(Berkovich) 다이아몬드 팁으로 MTS 시스템사에 의해 제조된 NANOINDENTER® 다이나믹 컨택트 모듈(DOM)상에서 수행하였다. 작은 진동은 기본적 로딩 신호상에 겹쳐지며 얻은 시스템 반응을 주파수-특정 증폭기에 의해 분석하였다. 75 Hz(DCM)에서 시험을 통해 여기 주파수를 일정하게 유지시키고 변위 진폭이 1 nm(DCM)에서 일정하게 남아있도록 여기 진폭을 조절하였다.
각 압입 실험은 접촉 강도, S의 연속적인 측정을 허용한다. S의 다이나믹 측정, 및 영률 및 경도에 대한 확정된 식(Poisson's 비율 = 실리카에 대해 0.18, 저 K 막에 대해 0.25)을 사용하여, 모든 개개의 압입 실험은 표면 침투의 연속적인 기능으로서 영률 및 경도를 얻었다. 4 내지 5개의 만입 배열을 각 샘플상에 수행하고 약 20-25 마이크론 거리로 만입을 성공적으로 분리시켰다. 각 압입 실험 결과를 측정하고 임의의 "분리물(outliers)"을 배제시켰다. 영률 및 경도에 대한 결과 대 각 샘플의 압입 실험을 위한 침투를 약 5 nm의 분리된 변위 윈도우를 사용하여 평균화하였다. 이 윈도우에서의 데이터를 사용하여, 각 샘플에 대한 평균, 표준편차, 및 컨피던스 간격을 계산하였다. 분리된 윈도우의 나머지에 대해 동일한 통계를 유사하게 계산하였다. 결과적으로 경도를 얻었으며 같은 방법으로 평균화하였다. 경도 및 영률은 경도 곡선(약 30-50 nm에서)의 최소에서 측정된 경도값 및 모듈러스 곡선(약 30-50 nm에서)의 최소에서 측정된 모듈러스 값으로서 보고되었다. 막의 모듈러스 및 경도의 오차는 10% 미만으로 예측되었다.
FTIR 데이터를 DTGS KBR 검출기 및 KBr 빔 스플리터가 장치된 써모 니콜렛 넥서스 470 시스템을 사용하여 웨이퍼 상에 수집하였다. 백그라운드 스펙트럼을 유사한 중간 저항률 웨이퍼상에서 수집하고 스펙트럼으로부터 CO2 및 물을 제거하였다. 데이터는 4 cm-1의 분해능으로 32개 스캔을 모음에 의해 4000 내지 400 cm-1의 범위에서 얻는다. OMNIC 소프트웨어 패키지를 데이터를 프로세스하는데 사용하였다. 모든 막은 베이스라인 수집되었으며, 세기(intensities)는 500 nm의 막 두께로 표준화되었고, 인트레스트의 피크 영역 및 높이는 OMNIC 소프트웨어로 측정되었다.
X-선 광전자 분광기(XPS) 데이터를 상대적 민감 인자 및 균일한 층으로 여겨지는 모델을 사용하여 정량하였다. XPS 측정을 단색화된 Alkα 1486.6eV X-선 광원, ±7°의 허용 각도, 65°의 상승 각도, 800 μm2의 분석 영역, 86 Å/분의 스퍼터(sputter) 속도, 및 Ar+, 3 keV, 4×4 mm 래스터(raster)의 이온총 조건을 사용한 Phi 5701 LSci 시스템상에서 얻었다. 부피 분석은 분석 영역(점 크기 또는 구멍 크기) 및 깊이의 정보의 산물이다. 광전자는 X-선 침투 깊이(일반적으로 많은 마이크론) 내에 생성될 뿐 아니라, 최고 삼 광전자(top three photoelectron) 탈출 깊이 내에 광전자가 검출되었다. 탈출 깊이는 15-35 Å에 속하며, 이는 ~50-100 Å의 분석 깊이에 이르게 한다. 전형적으로, 95%의 신호가 이 깊이 내로부터 비롯된다. 29Si 및 13C MAS NMR 데이터를 9.4 T(400 MHz)의 필드 세기에서 12-14 kHz의 스피닝 속도인 Varian 3.2 mm T3DR 프로브를 사용하여 수집하였다. 데이터 분석을 리버모어, CA. 아콘 NMR사에 의해 개발된 NUTS 소프트웨어 프로그램을 사용하여 수 행하였다.
아래의 일부 실시예에서, 기재되지 않았다면, UV 노출은 자동화된 어플라이드 머테리얼 P5000 플랫폼에 부착된 200 mm Dxl 챔버 내로 통합된 10" H+ 전구를 갖는 스위핑 Fusion VPS/I-600 상에서 수행하였다. 서셉터(susceptor)온도를 300℃에 맞추었다. 샘플을 0.5분 내지 10분, 바람직하게는 1분 내지 3분의 범위에서 100% UV 파워에 노출시켰다. 아래의 일부 실시예에서, UV 노출 단계는 UV 경화 단계(예를 들어, 또는 다공성 OSG 막을 제공하기 위해 복합막으로부터 유기 물질을 제거하는데 사용되는 단계)에 부가하여 사용될 수 있다.
아래의 일부 실시예에서, 전형적인 웨이퍼를 아래의 표 2에 제공된 아래의 화학적 조성물 중 하나로 처리하였다:
표 2: 전형적인 웨이퍼를 처리하기 위한 화학 조성물(모든 퍼센트는 중량 퍼센트이며 더하여 최대 100 중량%이다)
Figure 112009076773812-PAT00003
용어집:
디메틸아세트아미드(DMAC)
테트라하이드로푸릴 알코올(THFA)
탈이온수(DIW)
암모늄 아세테이트(Amm. Acetate)
암모늄 플루오라이드(AF)
글리세롤(Gly.)
하이드록실아민(50% 용액)(HA)
메탄올아민(MEA)
실시예 1: 저 유전체 OSG 막 내부의 탄소-함유종의 검출
29Si MAS NMR을 사용하여 망상 구조를 평가하였고 13C MAS NMR을 사용하여 막 내부의 탄소-함유종을 평가하였다. 이들 막 내에 하나 이상의 타입의 탄소 예를 들어, Si에 공유결합된 CH3 및 잔여 탄소-함유종가 있을 확률이 높다는 것을 증명하기 위해, 표 3에 200 mm 웨이퍼로부터 스크랩된 분말의 29Si MAS NMR 및 13C MAS NMR을 요약하였다. 표 3은 막 내부에 서로 다른 Si 종들 및 탄소-함유종이 존재하는 것을 보여준다. 표 3은 또한 막 내부에 두 가지 타입의 탄소-함유종이 존재하고 있음을 보여준다: Si 원자에 결합된 메틸기 또는 망상-종결 탄소기와 관련된 것과 알켄-유사 탄소 상이다. 후자의 탄소는 막의 유전상수를 증가시키고 기계적 특성을 감소시키는데 영향을 끼칠 확률이 높다. 여기에 기재된 방법은 막을 소수성으로 만드는 실리케이트 망상 또는 종결기를 파괴하지 않으면서도 이들 알켄-유사 탄소종을 제거하려는 것이다. 만약 바람직하지 않은 탄소-함유종이 물질 예컨대 막 망상을 심각하게 파괴하지 않은 채 막으로부터 제거될 수 있다면, 결과적으로 막은 전기적 또는 기계적 특성이 개선될 수 있다.
표 3: 200 mm 경화된 PDEMSTM 2.5 ATRP 웨이퍼로부터 스크랩된 분말의 29Si MAS NMR 및 13C MAS NMR
Figure 112009076773812-PAT00004
산화제를 포함하는 화학물질로 처리되고, UV 광에 노출되고, 추가적인 화학 적 포뮬레이션으로 처리되거나, 이들이 조합되어 처리된 다양하게 경화된 PDEMSTM 2.5 ATRP 막의 유전상수 및 기계적 특성이 표 4에 제공된다. 표 4의 모든 실시예에서, 경화된 PDEMSTM 2.5 ATRP 막은 산화성 화학물질인 오존(03)으로 처리되었다. 표 4의 실시예 3 및 4에서, 처리된 PDEMSTM 2.5 ATRP 막을 아래의 조건하에서 UV 광에 1분간 노출시켰다: 브로드밴드 H+ 전구, 6000 watt Fusion 시스템, 진공, 및 300℃의 서셉터 온도. 표 4에서 실시예 1 및 2는 오존 처리는 -이후의 화학적 처리 단계가 있거나 없거나- 막의 유전상수를 증가시키고 막의 기계적 특성을 감소시킨다는 것을 보여준다. 그러나, 03-처리된 막을 짧은 기간의 시간 동안 UV 광에 노출시킨 후에는, 막의 기계적 특성이 증가되면서도 유전상수는 정상으로 회귀하거나 감소되었다.
표 4: 다양한 처리 후의 유전상수 및 기계적 특성에의 영향
Figure 112009076773812-PAT00005
비교 실시예: 하나 이상의 화학적 클리닝 조성물로 처리
경화되거나 경화되지 않은 PDEMSTM 2.5 ATRP 웨이퍼를 표 5, 6, 및 7에 제공된 다양한 처리 조건하에서 다양한 화학적 조성물(상기 표 2에서 제공된)로 처리하였다. 표 5, 6, 및 7은 추가로 다양한 클리닝 조성물로의 처리 후 각 전형적인 웨이퍼에 대해 반사계에 의해 얻어진 두께, 굴절률, 및 소멸 계수를 추가로 제공한다. PDEMSTM 2.5 ATRP 웨이퍼를 20℃ 내지 80℃의 다양한 온도 범위에서 습식 화학물질이 함유된 용기에 접촉시키거나 담근다. 다양한 화학적 조성물에 대해 기판을 노출시키는 시간 주기는 예를 들어, 1 내지 120분의 범위가 될 수 있다. 화학적 조성물로 처리 후, 상기 웨이퍼는 탈이온수로 세척하고 건조시켰다. 건조는 불활성 대기하에서 수행하였다.
경화된 막을 10 내지 30분간 화학적 조성물 H로 처리한 비교 실시예 1 및 2는 각각 대조군 B(표 5 참조)과 비교하여 막 두께가 약간 감소되었으나, 처리된 PDEMSTM 2.5 ATRP 막에 대한 굴절률 및 소멸 계수의 변화는 없었다. 60분 처리 후, 비교 실시예 3의 막은 심각하게 손상되었고 표면 거칠기를 볼 수 있었다. 비교 실시예 1, 2, 및 3의 막에 대한 FTIR 데이터는 막 두께의 감소를 나타내는 Si-O 피크의 일정한 감소를 보여주지만, 1735 cm-1에서 카보닐 피크가 관찰되지 않았다. 이들 결과는 하이드로실아민을 포함하는 화학적 조성물이 탄소-함유종을 제거할 수 없었지만, 좀더 긴 노출시간에서 경화된 PDEMSTM 2.5 ATRP 막에 손상을 주었다는 것을 보여준다.
표 6은 화학적 조성물 I로 5분간 경화된 막을 처리한 후, 또는 비교 실시예 4를 추가로 보여주는데, 대조군 C와 비교하여 PDEMSTM 2.5 ATRP 막 상의 굴절률 및 소멸 계수의 변화가 없었다. 화학적 조성물 I로 10분간 처리한 후, 또는 비교 실시예 5는 굴절률 및 소멸 계수와 함께 막 두께가 감소되었다. 화학적 조성물 I로 30분간 처리한 후, 또는 비교 실시예 6은 막이 갈라졌다. 비교 실시예 4, 5, 및 6의 막에 대한 FTIR 데이터는 막 두께의 감소를 나타내는 Si-O 피크의 일정한 감소를 보여주지만, 1735 cm-1에서 카보닐 피크가 관찰되지 않았다. 이들 결과는 하이 드로실아민을 포함하는 화학적 조성물이 탄소-함유종을 제거할 수 없었지만, 좀더 긴 노출시간에서 경화된 PDEMSTM 2.5 ATRP 막에 손상을 주었다는 것을 보여준다.
표 7은 30분 이하 동안 다양한 클리닝 조성물로 처리한 후, 대조군 D와 비교하여 경화되지 않은 PDEMSTM 2.5 ATRP 상에서 두께, 굴절률 및 소멸 계수의 변화가 없음을 보여준다. FTIR 데이터는 막 특성의 변화가 없고 카보닐 종들의 증거도 없음을 보여준다. 이들 결과는 이들 클리닝 조성물이 경화되지 않은 PDEMSTM 2.5 ATRP 막으로부터 포로젠 및 탄소-함유종을 제거할 수 없음을 보여준다.
표 5: 화학적 조성물 H로 처리
Figure 112009076773812-PAT00006
표 6: 화학적 조성물 I로 처리
Figure 112009076773812-PAT00007
표 7: 화학적 조성물 A, B, D, E, F 및 G로 처리
Figure 112009076773812-PAT00008
실시예 2: 오존에 노출, 오존 및 습식 화학물질 처리, 및 오존 및 UV 후의 경화된 다공성 OSG 막의 여러 가지 특성에 대한 효과
경화된 다공성 PDEMSTM 2.5 ATRP 웨이퍼를 UV-오존 드라이 클리너, 또는 자외선 오존 클리닝 시스템, UVOCS 사, 모델 T1 OX10/OES, 시리얼 번호 1034에서 처리하였고, 상기 웨이퍼를 오존을 함유한 기체성 주변 대기에 노출시켰다. 표 8은 각 전형적인 웨이퍼에 대한 처리 조건 및 반사계에 의해 얻어진 두께, 굴절률, 및 소멸 계수를 제공한다. 반사계 데이터는 표 8에서 제공되며 03 노출 후, 두께 변화없이 굴절률 @ 632 nm 및 소멸 계수 @ 240 nm가 상당히 감소하였음을 보여준다. 추가적인 습식 화학물질 공정을 사용하여 UV 경화 이전에 웨이퍼를 03에 노출시켜 세척하였다. 습식 화학물질은 중성 내지 산성 준수계(semi-aqueous) 용매 및 물 혼합물 또는 C=0 함유 유기 용매였다. 다양한 습식 화학물질의 포뮬레이션이 표 2에 제공되었다. 03 처리된 기판을 20℃ 내지 80℃의 온도 범위에서 다양한 화학적 조성물이 함유된 용기에 접촉시키거나 담근다. 화학적 조성물에 대한 기판의 전형적인 처리 시간 간격은 예를 들어, 1 내지 120분의 범위가 될 수 있다. 화학적 조성물과 접촉한 후, 상기 기판은 탈이온수로 세척한 다음 건조시킬 수 있다. 건조는 일반적으로 불활성 대기하에서 수행된다. 화학적 조성물로 추가적인 처리 후, 굴절률 및 소멸 계수가 추가로 감소되었다(실시예 10 참조).
표 9는 각 전형적인 웨이퍼에 대해 얻어진 FTIR 데이터를 제공한다. 표 9에 나타낸 데이터와 같이, 03로 처리 후 Si-CH3/SiO의 비율은 본질적으로 변화되지 않음을 보여주며, 이는 Si에 공유결합된 메틸기가 03 처리에 의해 영향을 받지 않음을 나타낸다(대조군 E 및 실시예 5를 비교). 또한, 03로 처리 후, FTIR 스펙트럼은 각각 C=O 및 -OH 스트레칭 전이에 기인될 수 있는 ~1735cm-1에서 나타나는 강한 피크와 ~3500 cm-l에서 나타나는 넓은 피크를 보여준다(실시예 5 참조). 이는 03 처리에 의해 탄소-함유종이 대부분 카보닐(C=0) 또는 카복실산(COOH) 종들 또는 탄소-함유 부산물로 전환되었을 가능성이 높음을 나타낸다. 더하여 추가적인 클리닝 조성물에 대한 웨이퍼의 노출은 ~1735cm-1에서 카보닐 피크를 완전히 제거하지만 -OH 결합은 남아있다(실시예 6, 7, 및 8 참조). 이 -OH 결합은 표면상에서 H2O에 결합된 수소일 수 있다. 추가적인 UV 노출 단계가 카보닐(C=O) 또는 카복실산(COOH) 종들 또는 탄소-함유 부산물을 완전히 제거할 수 있었으며 막 구조가 복구되었다(실시예 9 및 실시예 10 참조).
표 10은 소정의 실시예에 대한 XPS 데이터를 제공한다. XPS 데이터는 XPS 및 ESCA에 의한 표면 스퍼트(sputtered) 후 받아들여진 경화된 PDEMS 2.5(대조군 E) 및 03 노출된 경화된 PDEMS 2.5 표면(실시예 5) 상에서 관찰된 종들을 보여준다. 이들 종들은 R-Si, 탄화수소, 0-함유 유기물, 소량의 플루오라이드 또는 C-N을 포함한다. 또한, 실시예 5는 O-C=O 관능기(에스터가 가능)를 함유하며, 이는 FTIR로 부터 발견된 것과 일치한다. 대조군 E 및 실시예 5의 탄소 농도를 비교하면, 03 처리는 받아들여진 표면 상에서 탄소 농도를 24.1%에서 15.3%로 -10 nm 표면 상에서는 19.3%에서 7.1%로 감소시킨다. 이들 결과는 03로 처리함에 의해 탄소-함유종이 효과적으로 제거되었다는 추가의 증거를 제공한다.
표 8: 03, 다양한 클리닝 조성물 및 UV 노출로 처리 후 경화된 PDEMSTM 2.5 ATRP 막의 반사계 데이터
Figure 112009076773812-PAT00009
표 9: 03, 습식 화학물질 클리닝 케미컬 및 UV 노출 후 경화된 PDEMS 2.5 막 의 FTIR 데이터
Figure 112009076773812-PAT00010
표 10: XPS 및 ESCA에 의한 이온 스퍼터링 전후의 농도(in %)a 및 원소 비율
Figure 112009076773812-PAT00011
a100%의 검출된 원소로 표준화, XPS는 H 또는 He를 검출하지 않는다
실시예 3: 오존수로 처리 및 UV로 노출 후 경화된 다공성 OSG 막의 여러 가지 특성에 대한 영향
2.5의 유전상수를 갖는 경화된 다공성 PDEMS 웨이퍼를 약 21.8℃에서 표 11 및 12에 제공된 여러 시간 동안 물에 30 ppm 오존을 함유한 오존수(03/H20)에 담궜다. 반사계 데이터(표 11)는 오존수에 담근 후, 담금 시간이 증가함에 따라 굴절률 @ 632 nm 및 소멸 계수 @ 240 nm가 상당히 감소함을 보여준다. 웨이퍼의 두께 는 본질적으로 변하지 않았다.
표 12는 각 전형적인 웨이퍼에 대해 얻어진 FTIR 데이터를 제공한다. 표 12에 나타낸 데이터와 같이, 60분 이하로 오존수에 담근 후 Si-CH3/SiO의 비율은 본질적으로 변화되지 않음을 보여주며, 이는 Si에 공유결합된 메틸기가 오존수에 의해 영향을 받지 않음을 나타낸다(대조군 F 및 실시예 11-14를 비교). 또한, 오존수에 5분간 담근 후, FTIR 스펙트럼은 각각 C=O 및 -OH 스트레칭 전이에 기인될 수 있는 ~1735cm-1에서 나타나는 강한 피크와 ~3500 cm-l에서 나타나는 넓은 피크를 보여준다. 이는 오존수에 5분간 담근 후 탄소-함유종이 대부분 카보닐(C=0) 또는 카복실산(COOH) 종들 또는 탄소-함유 부산물로 전환되었을 가능성이 높음을 나타낸다(대조군 F 및 실시예 11-14를 참조). 더욱 긴 담금 시간에서 카보닐(C=0) 또는 카복실산(COOH) 종들의 눈에 띄는 증가는 없었다.
표 11: 03/H20로 처리 후 전형적인 OSG 막의 반사계 데이터
Figure 112009076773812-PAT00012
표 12: 03/H20에 담근 후 전형적인 OSG 막의 FTIR 데이터
Figure 112009076773812-PAT00013
실시예 4: SPM으로 처리 후 경화된 다공성 OSG 막의 여러 가지 특성에 대한 영향
2.5의 유전상수를 갖는 경화된 다공성 PDEMS 웨이퍼를 120℃에서 표 13 및 14에 제공된 여러 시간 동안 SPM(10:1 몰 비율에서 98% 황산:30% H202)에 담궜다. 반사계 데이터(표 13)는 SPM에 담근 후, 담금 시간이 증가함에 따라 굴절률 @ 632 nm이 약간 감소하고; 소멸 계수 @ 240 nm가 상당히 감소하였으며; 웨이퍼의 두께가 약간 변화하였음을 보여준다.
표 14는 각 전형적인 웨이퍼에 대해 얻어진 FTIR 데이터를 제공한다. 표 14에 나타낸 데이터와 같이, 30분 이하로 SPM에 담근 후, Si-CH3/SiO의 비율이 약간 감소되었음을 보여주며, 이는 Si에 공유결합된 메틸기가 SPM에 담금에 의해 약간 영향을 받았음을 나타낸다. 또한, SPM에 1분간 담근 후, FTIR 스펙트럼은 각각 C=O 및 -OH 스트레칭 전이에 기인될 수 있는 ~1735cm-1에서 나타나는 강한 피크와 ~3500 cm-l에서 나타나는 넓은 피크를 보여준다. 이는 SPM에 1분간 담근 후 탄소-함유종이 대부분 카보닐(C=0) 또는 카복실산(COOH) 종들로 전환되었을 가능성이 높음을 나타낸다(대조군 F 및 실시예 11-14를 참조). 카보닐(C=0) 스트레칭의 적분 영역의 계속적인 감소는 더욱 긴 담금 시간에서 SPM에 의해 카보닐(C=0) 또는 카복실산(COOH) 종들이 제거되는 경향이 있음을 나타낸다.
표 13: SPM에 담근 후 전형적인 OSG 막의 반사계 데이터
Figure 112009076773812-PAT00014
표 14: SPM에 담근 후 전형적인 OSG 막의 FTIR 데이터
Figure 112009076773812-PAT00015
실시예 5: 오존 및 다양한 클리닝 화학물질로 처리 후 경화되지 않은 다공성 OSG 막의 여러 가지 특성에 대한 영향
경화되지 않은 PDEMSTM 2.5 ATRP 웨이퍼를 UV-오존 드라이 클리너(UVOC)에서 처리하였고, 상기 웨이퍼를 오존을 함유한 기체성 주변 대기에 노출시켰다. 반사계 데이터(표 15)는 03 노출 후, 약간의 두께의 감소와 함께 굴절률 @ 632 nm 및 소멸 계수 @ 240 nm가 상당히 감소됨을 보여준다. 추가적인 습식 화학적 공정들이 사용되어 UV 경화 이전에 03 노출된 웨이퍼를 세척하였다. 습식 화학물질은 중성 내지 산성 준수계 용매 및 물 혼합물 또는 C=0 함유 유기 용매이다. 화학물질의 실시예는 조성물 A에서 C까지이며, 여기서는 표 2에서 제공된다.
FTIR 데이터(표 16)는 03에 노출한 후, Si-CH3/SiO의 비율이 본질적으로 변화되지 않음을 보여주며, 이는 Si에 공유결합된 메틸기가 03로의 노출에 의해 영향을 받지 않음을 나타낸다. 반면에 C-H/SiO의 비율은 상당히 감소하였으며, 이는 포로젠이 03 노출에 의해 실질적으로 제거됨을 나타낸다. 또한, 03에 노출한 후, FTIR 스펙트럼은 각각 C=O 및 -OH 스트레칭 전이에 기인될 수 있는 ~1735cm-1에서 나타나는 강한 피크와 ~3500 cm-l에서 나타나는 넓은 피크를 보여준다. 이는 03 노출에 의해 탄소-함유종이 대부분 카보닐(C=0) 또는 카복실산(COOH) 종들로 전환되었을 가능성이 높음을 나타낸다. 더욱 긴 담금 시간에서 카보닐(C=0) 또는 카복실산(COOH) 종들의 눈에 띄는 증가는 없었다. 습식 화학물질 클리닝은 ~1735cm-1에서 일부 카보닐 피크를 제거하였지만, C=0 및 -OH 종들을 완전히 제거하도록 충분히 효과적이지 않다.
표 15: 03 노출 및 습식 화학물질 클리닝 후 경화되지 않은 OSG 막의 반사계 데이터
Figure 112009076773812-PAT00016
표 16: 03 노출 및 습식 화학물질 클리닝 후 경화되지 않은 OSG 막의 FTIR 데이터
Figure 112009076773812-PAT00017
실시예 6: 오존수로 처리 후 경화되지 않은 다공성 OSG 막의 여러 가지 특성에 대한 영향
경화되지 않은 PDEMSTM 2.5 ATRP 웨이퍼를 약 21.8℃에서 표 17 및 18에 제공된 여러 시간 동안 물에 30 ppm 오존을 함유한 오존수(03/H20)에 담궜다. 반사계 데이터(표 17)는 오존수에 담근 후, 담금 시간이 증가함에 따라 소멸 계수 @ 240 nm가 상당히 감소함을 보여준다. 굴절률 및 웨이퍼의 두께에서는 약간의 변화가 있었다.
FTIR 데이터(표 18)는, 60분 이하로 오존수에 담근 후, Si-CH3/SiO의 비율은 본질적으로 변화되지 않음을 보여주며, 이는 Si에 공유결합된 메틸기가 오존수에 의해 영향을 받지 않음을 나타낸다. 반면에 C-H/SiO의 비율은 상당히 감소하였으며, 이는 포로젠이 오존수에 담금에 의해 실질적으로 제거됨을 나타낸다. 또한, 오존수에 5분간 담근 후, FTIR 스펙트럼은 각각 C=O 및 -OH 스트레칭 전이에 기인될 수 있는 ~1735cm-1에서 나타나는 강한 피크와 ~3500 cm-l에서 나타나는 넓은 피크를 보여준다. 이는 오존수에 5분간 담근 후 탄소-함유종이 대부분 카보닐(C=0) 또는 카복실산(COOH) 종들로 전환되었을 가능성이 높음을 나타낸다. 더욱 긴 담금 시간에서 카보닐(C=0) 또는 카복실산(COOH) 종들의 눈에 띄는 증가는 없었다.
표 17: 오존수에 담근 후 경화되지 않은 PDEMS 2.5 막의 반사계 데이터
Figure 112009076773812-PAT00018
표 18: 오존수에 담근 후 경화되지 않은 PDEMS 2.5 막의 FTIR 데이터
Figure 112009076773812-PAT00019
실시예 7: SPM 노출 후 경화되지 않은 다공성 OSG 막의 여러 가지 특성에 대한 영향
경화되지 않은 PDEMS 2.5 웨이퍼를 120℃에서 여러 시간 동안 SPM(98% 황산:30% H202=10:1)에 담궜다. 반사계 데이터(표 19)는 SPM에 담근 후, 담금 시간이 증가함에 따라 굴절률 @ 632 nm 및 소멸 계수 @ 240 nm가 상당히 감소하였음을 보여준다. 담금 시간이 증가함에 따라 웨이퍼의 두께도 약간 감소하였다.
FTIR 데이터(표 20)는 10분 이하로 SPM에 담근 후, Si-CH3/SiO의 비율이 약 간 감소되었음을 보여주며, 이는 Si에 공유결합된 메틸기가 SPM에 담금에 의해 약간 영향을 받았음을 나타낸다. C-H/SiO 비율의 상당한 변화는 SPM이 경화되지 않은 PDEMS 막으로부터 포로젠을 효과적으로 제거함을 나타낸다. 또한, SPM에 1분간 담근 후, FTIR 스펙트럼은 각각 C=O 및 -OH 스트레칭 전이에 기인될 수 있는 ~1735cm-1에서 나타나는 강한 피크와 ~3500 cm-l에서 나타나는 넓은 피크를 보여준다. 이는 SPM에 1분간 담근 후 탄소-함유종이 대부분 카보닐(C=0) 또는 카복실산(COOH) 종들로 전환되었을 가능성이 높음을 나타낸다. SPM에 1분간 담근 후 저 C-H/SiO 비율이 경화된 PDEMS 2.5 웨이퍼(대조군 A-G)의 그것과 가까워짐이 관찰되었다.
표 19: SPM에 담근 후 경화되지 않은 PDEMS 2.5 막의 반사계 데이터
Figure 112009076773812-PAT00020
표 20: SPM에 담근 후 경화되지 않은 PDEMS 2.5 막의 FTIR 데이터
Figure 112009076773812-PAT00021
예언적 실시예 8: 하이드라진으로 처리 후 경화된 다공성 OSG 막의 여러 가지 특성에 대한 영향
2.5의 유전상수를 갖는 경화된 다공성 PDEMSTM 2.5 ATRP 웨이퍼를 70℃에서 1, 5, 10, 및 30분 동안 하이드라진 용액(물 내에 35 wt% 하이드라진)에 담궜다. 반사계 데이터는 하이드라진 용액에 담근 후, 담금 시간이 증가함에 따라 굴절률 @ 632 nm이 감소할 것이며; 소멸 계수 @ 240 nm가 감소할 것이며; 웨이퍼의 두께가 약간 변화할 것이다.
FTIR 데이터는 스펙트럼의 탄화수소 영역에서 상당한 감소가 있고, 즉 C-H/SiO 비율이 감소될 것이며, Si-CH3 피크 영역은 변하지 않은 채 남아있음을 보여줄 것이다. 이는 환원성 화학물질의 처리가 탄소 함유 종들의 제거는 선택적이지만 망상 종결 탄소종은 그렇지 않음을 보여준다.
막의 유전상수는 2.5 내지 2.3으로 더욱 낮아지며 기계적 특성은 처리하지 않은 PDEMS 2.5 막과 동일하게 남아있다. 하이드라진의 노출로 처리된 샘플은, 유전상수가 2.2로 감소할 것이며 막의 모듈러스는 5%까지 증가할 것이다.
예언적 실시예 9: 옥살산으로 처리 후 경화된 다공성 OSG 막의 여러 가지 특성에 대한 영향
2.5의 유전상수를 갖는 경화된 다공성 PDEMSTM 2.5 ATRP 웨이퍼를 1, 5, 10, 및 30분 동안 옥살산 용액에 담궜다. 반사계 데이터는 옥살산 용액에 담근 후, 담금 시간이 증가함에 따라 굴절률 @ 632 nm이 감소할 것이며; 소멸 계수 @ 240 nm가 감소할 것이며; 웨이퍼의 두께가 약간 변화할 것이다.
옥살산 용액에 노출된 후 유전상수는 변하지 않은 채 남아있을 것이지만 막의 기계적 특성은 5%까지 감소한다. UV 광에 1분 간 노출한 후, 상기 유전상수는 2.5 내지 2.3으로 감소하며 막의 모듈러스는 10%까지 증가하였다.
실시예 10: 경화된 PDEMS 2.5 막의 편광법 데이터 상의 처리 및 노출의 효과
실시예 10은 물질의 기공 크기가 UV-경화된 다공성 PDEMS 막으로부터 골격이 아닌 탄소의 개질 및 제거로 변함을 설명한다. 이들 결과는 처리되지 않은 PDEMS 2.5 막과 비교하여 막의 증가된 기계적 특성과 동일한 유전상수를 설명할 수 있다.
엘립소메트릭 기공률 측정(EP)을 흡착질로서 톨루엔 용매를 사용하여 프랑스의 SOPRA S.A.에 의해 제조된 SOPRA EP-12 엘립소메터(ellipsometer)상에서 수행하였다. EP는 감소된 압력에서 유기 용매 또는 물의 흡착 및 탈착 동안 물질의 광학 특성 및 두께의 변화를 측정한다. 상기 분석은 유전 물질의 다공성, 미소공 및 메조 기공의 기공 크기 분포, 누적 표면적, 기공 연계성, 영률, 두께 및 굴절률을 제공한다. 톨루엔을 챔버 내로 투입하고 막의 굴절률을 측정하였다. 톨루엔의 부분 압력이 0.01 내지 0.97에서 변화하며 예시적인 막에 대한 흡착/탈착 등온선을 얻는다. 막의 굴절률의 변화에 근거하여, 막에 의해 흡착되는 톨루엔의 양을 식(3)으로부터 계산할 수 있다. 여기서 nrl은 기공에 액체가 있는 막의 굴절률이고, nre는 흡착질에 노출하기 이전의 막의 굴절률이며, nl은 액체 흡착질의 굴절률이다.
Figure 112009076773812-PAT00022
식(3)
SOPRA에서 개발한 WinElli II 소프트웨어를 사용하여, 기공 크기 및 기공 크기 분산을 흡착/탈착 등온선으로부터 캘빈 및/또는 듀비닌/라듀쉬케비치 식을 사용하여 결정할 수 있다.
표 21은 막 및 오존으로 처리된 두 PDEMS 막으로부터 탄소-함유종의 제거없이 PDEMS 2.5의 기공 크기 및 기공 크기 분산을 제공한다. 예시된 막은 실시예 3 및 4 및 실시예 1로부터의 대조군 샘플 A이다. 표 21은 기공 직경이 25%까지 증가되고, 전체 미소공 부피가 15%까지 감소되며, 메조 기공 부피가 4%까을 사용하여 기공 구조를 상당히 변화시킬 수 있음을 제시한다. 이들 막의 기공 구조에서의 변화는 다공성 유전체 막의 기계적 및 절연 특성 모두에 상당한 영향을 미칠 수 있다.
표 21: O3에 노출 후 경화된 PDEMS 2.5 막의 EP 데이터


조건
평균 미세공
직경(nm)
전체 미세공
부피
메조 기공으로서 다공성의
퍼센트
대조군 A 경화된
PDEMSTM 2.5 ATRP
11.4 0.281 2%
실시예 3 O3로 5분간 처리 및 UV에 1분간 노출 14.6 0.243 6%
실시예 4 O3로 5분간 처리; 75℃에서 120분간 화학물질 조성물 C로 린스 및 UV에 1분간 노출

14.4


0.235


6%

Claims (27)

  1. 다공성 유기실리케이트 막을 형성시키는 방법으로서,
    복합(composite) 유기실리케이트 막을 제공하는 단계(여기서, 상기 복합 유기실리케이트 막은 하나 이상의 실리콘-함유 전구체 및 하나 이상의 포로젠-함유 전구체를 포함하는 조성물로부터 증착되고 상기 복합 유기실리케이트 막은 탄소-함유종을 포함한다);
    상기 복합 유기실리케이트 막을 자외선 광을 포함하는 에너지원에 노출시키는 단계; 및
    상기 복합 유기실리케이트 막을 산화제, 플루오르화제, 메틸화제, 환원제, 및 이들의 조합으로부터 선택되는 하나 이상의 것을 포함하는 화학물질로 처리하여 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하고 다공성 유기실리케이트 막을 제공하는 단계
    를 포함하는 다공성 유기실리케이트 막을 형성시키는 방법.
  2. 제 1 항에 있어서, 다공성 유기실리케이트 막을 에너지원에 노출하는 단계를 추가로 포함하는 방법.
  3. 제 1 항에 있어서, 상기 에너지원이 열원, α-입자, β-입자, γ-선, x-선, 고에너지 전자, 전자빔, 가시광, 적외선 광, 마이크로파, 라디오-주파수 파장, 및 이들의 조합으로부터 선택되는 하나 이상의 것을 추가로 포함하는 방법.
  4. 제 1 항에 있어서, 상기 화학물질이 산화제를 포함하는 방법.
  5. 제 4 항에 있어서, 상기 산화제가 산소, 오존, 오존수, SPM, 산소 원자, 02 또는 03의 라디칼, 02 또는 03의 전하종, 및 이들의 조합으로부터 선택되는 하나 이상의 것을 포함하는 방법.
  6. 제 4 항에 있어서, 상기 산화제가 오존을 포함하는 방법.
  7. 제 4 항에 있어서, 상기 산화제가 오존수를 포함하는 방법.
  8. 제 4 항에 있어서, 상기 산화제가 SPM을 포함하는 방법.
  9. 제 1 항에 있어서, 상기 화학물질이 환원제를 포함하는 방법.
  10. 제 9 항에 있어서, 상기 환원제가 하이드라진, 하이드라진의 염, 하이드라이드, 카복실산, 탄화수소, 수소, 주석 화합물, 철 화합물, 일산화탄소, 및 이들의 조합으로부터 선택되는 하나 이상의 것인 방법.
  11. 제 1 항에 있어서, 상기 하나 이상의 실리콘-함유 전구체가 디에톡시메틸실란, 테트라에톡시실란, 디메틸디에톡시실란, 디메틸디메톡시실란, 디메틸에톡시실란, 트리에톡시실란, 트리메틸페녹시실란, 페녹시실란, 헥사메틸디실록산, 1,1,2,2-테트라메틸디실록산, 옥타메틸트리실록산, 메틸트리에톡시실란, 메틸트리아세톡시실란, 테트라아세톡시실란, 디메틸실라사이클로부탄, 옥타메틸사이클로테트라실록산, 1,3,5,7-테트라메틸사이클로테트라실록산, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 메틸렌 브릿지된 알콕시 실란, 또는 이들의 조합으로부터 선택되는 방법.
  12. 제 1 항에 있어서, 상기 하나 이상의 포로젠 전구체가 알파-테르피넨, 리모넨, 사이클로헥산, 사이클로옥탄, 바이사이클로헥사디엔, 감마-테르피넨, 캠펜, 디메틸헥사디엔, 에틸벤젠, 노르보나디엔, 사이클로펜텐 옥사이드, 1,2,4-트리메틸사이클로헥산, 1,5-디메틸-1,5-사이클로옥타디엔, 캠펜, 아다멘탄, 1,3-부타디엔, 치환된 디엔, 데카하이드로나프탈렌, 톨루엔, 및 이들의 조합으로부터 선택되는 방법.
  13. 제 1 항에 있어서, 상기 하나 이상의 포로젠 전구체가 1 내지 13개의 탄소 원자를 갖는 기체상 탄화수소를 포함하는 방법.
  14. 제 1 항에 있어서, 상기 처리 단계가 일부 또는 전체 노출 단계 동안 수행되는 방법.
  15. 제 1 항에 있어서, 상기 노출 단계가 상기 처리 단계 이전에 수행되는 방법.
  16. 제 1 항에 있어서, 상기 처리 단계가 상기 노출 단계 이전에 수행되는 방법.
  17. 다공성 유기실리케이트 막을 형성시키는 방법으로서,
    증기 증착을 통해 하나 이상의 실리콘-함유 전구체 및 하나 이상의 포로젠-함유 전구체를 포함하는 조성물로부터 복합 유기실리케이트 막을 형성시키는 단계(여기서, 상기 복합 유기실리케이트 막은 탄소-함유종을 포함한다);
    상기 복합 유기실리케이트 막을 화학물질로 처리하여 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하는 단계; 및
    상기 복합 유기실리케이트 막을 자외선 광 및 임의의 열에너지를 포함하는 에너지원에 노출시켜 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하고 다공성 유기실리케이트 막을 제공하는 단계
    를 포함하는 다공성 유기실리케이트 막을 형성시키는 방법.
  18. 제 17 항에 있어서, 상기 처리 단계에서 상기 화학물질이 산화제를 포함하는 방법.
  19. 제 18 항에 있어서, 상기 산화제가 산소, 오존, 오존수, SPM, 산소 원자, 02 또는 03의 라디칼, 02 또는 03의 전하종, 및 이들의 조합으로부터 선택되는 하나 이상의 것을 포함하는 방법.
  20. 제 17 항에 있어서, 상기 처리 단계가 일부 또는 전체 노출 단계 동안 수행되는 방법.
  21. 제 17 항에 있어서, 상기 노출 단계가 상기 처리 단계 이전에 수행되는 방법.
  22. 제 17 항에 있어서, 상기 처리 단계가 상기 노출 단계 이전에 수행되는 방법.
  23. 다공성 유기실리케이트 막을 형성시키는 방법으로서,
    복합 유기실리케이트 막을 제공하는 단계(여기서, 복합 유기실리케이트 막은 탄소-함유종, 제 1 유전상수, 및 제 1 경도를 포함한다);
    복합 유기실리케이트 막을 산화제를 포함하는 화학물질로 처리하여 그 안에 함유된 일부 또는 전부의 탄소-함유종을 제거하는 단계; 및
    복합 유기실리케이트 막을 자외선 광을 포함하는 에너지원에 노출시켜 그 안의 일부 또는 전부의 탄소-함유종을 제거하고 제 2 유전상수 및 제 2 경도를 포함하는 다공성 유기실리케이트 막을 제공하는 단계(여기서, 제 2 유전상수는 제 1 유전상수와 실질적으로 동일하거나 그 미만이고 제 2 경도는 제 1 경도보다 크다)
    를 포함하는 다공성 유기실리케이트 막을 형성시키는 방법.
  24. 제 23 항에 있어서, 제 1 유전상수, 제 2 유전상수, 또는 제 1 및 제 2 유전상수 모두가 2.7 또는 그 미만인 방법.
  25. 1.2 내지 2.5 범위의 유전상수 및 엘립소메터에 의해 240 nm에서 측정된 0 내지 0.03 범위의 소멸 계수를 포함하는 다공성 유기실리케이트 막.
  26. 제 25 항에 있어서, 기공 평균 크기가 약 100 나노미터 또는 그 미만인 기공을 포함하는 다공성 유기실리케이트 막.
  27. 제 25 항에 있어서, 240 nm에서 측정된 상기 막의 소멸 계수가 0 내지 0.025 범위인 다공성 유기실리케이트 막.
KR1020090123455A 2008-12-11 2009-12-11 다공성 유기실리케이트 막을 형성시키는 방법 KR101179167B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12166608P 2008-12-11 2008-12-11
US61/121,666 2008-12-11
US12/575,772 US20100151206A1 (en) 2008-12-11 2009-10-08 Method for Removal of Carbon From An Organosilicate Material
US12/575,772 2009-10-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020120080683A Division KR101553308B1 (ko) 2008-12-11 2012-07-24 다공성 유기실리케이트 막을 형성시키는 방법

Publications (2)

Publication Number Publication Date
KR20100067640A true KR20100067640A (ko) 2010-06-21
KR101179167B1 KR101179167B1 (ko) 2012-09-03

Family

ID=42078840

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020090123455A KR101179167B1 (ko) 2008-12-11 2009-12-11 다공성 유기실리케이트 막을 형성시키는 방법
KR1020120080683A KR101553308B1 (ko) 2008-12-11 2012-07-24 다공성 유기실리케이트 막을 형성시키는 방법
KR1020130100066A KR101603265B1 (ko) 2008-12-11 2013-08-23 다공성 유기실리케이트 막을 형성시키는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020120080683A KR101553308B1 (ko) 2008-12-11 2012-07-24 다공성 유기실리케이트 막을 형성시키는 방법
KR1020130100066A KR101603265B1 (ko) 2008-12-11 2013-08-23 다공성 유기실리케이트 막을 형성시키는 방법

Country Status (5)

Country Link
US (2) US20100151206A1 (ko)
EP (4) EP3121310B1 (ko)
JP (3) JP2010141335A (ko)
KR (3) KR101179167B1 (ko)
TW (2) TWI506164B (ko)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012015379A (ja) * 2010-07-01 2012-01-19 Univ Of Miyazaki シリコン酸化膜からのoh基除去法
BR112013010055A2 (pt) 2010-10-25 2018-05-08 Director General Defence Res & Development Organisation oligossicatos de etila com catalisadores polímeros heterogêneos de ácido forte
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10189712B2 (en) * 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10775304B2 (en) 2014-05-23 2020-09-15 UNIVERSITé LAVAL Fluorescent nanosensors and uses thereof
US9443723B2 (en) * 2014-07-08 2016-09-13 GlobalFoundries, Inc. Integrated circuits with an insultating layer and methods for producing such integrated circuits
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
UST870012I4 (en) * 1968-10-28 1970-01-13 Defensive publication
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
US5037506A (en) * 1990-09-06 1991-08-06 Subhash Gupta Method of stripping layers of organic materials
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5419779A (en) 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5597420A (en) 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5997658A (en) 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
JP2000273176A (ja) * 1999-03-26 2000-10-03 Fujitsu Ltd 絶縁膜形成方法及び半導体装置
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
JP2001196348A (ja) * 2000-01-12 2001-07-19 Seiko Epson Corp 有機物の分解方法、および半導体素子の製造方法
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
AU2002222968A1 (en) 2000-07-13 2002-01-30 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
JP3786569B2 (ja) * 2000-08-14 2006-06-14 松下電器産業株式会社 半導体装置の製造方法
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
JP2005510436A (ja) * 2001-11-21 2005-04-21 ユニバーシティー オブ マサチューセッツ メソポーラス材料および方法
US6943142B2 (en) 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6943141B2 (en) 2002-05-13 2005-09-13 O'brien Robert Neville Process for making a liquid evaporation retardant solution
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP2004335847A (ja) * 2003-05-09 2004-11-25 Mitsubishi Electric Corp 半導体集積回路ウエハの製造方法
US6951710B2 (en) 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050196974A1 (en) 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US20060003910A1 (en) 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20070095366A1 (en) * 2005-11-02 2007-05-03 Applied Materials, Inc. Stripping and cleaning of organic-containing materials from electronic device substrate surfaces
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films

Also Published As

Publication number Publication date
US20100151206A1 (en) 2010-06-17
JP6017935B2 (ja) 2016-11-02
KR20120101309A (ko) 2012-09-13
JP5775116B2 (ja) 2015-09-09
EP2657365A3 (en) 2014-01-08
TWI506164B (zh) 2015-11-01
JP2013211592A (ja) 2013-10-10
EP3121310B1 (en) 2018-02-28
KR20130100252A (ko) 2013-09-10
EP2199428A3 (en) 2011-08-17
EP2199428B1 (en) 2018-01-24
EP3121310A1 (en) 2017-01-25
US20130295334A1 (en) 2013-11-07
EP2199428A2 (en) 2010-06-23
KR101179167B1 (ko) 2012-09-03
EP3211121A2 (en) 2017-08-30
KR101603265B1 (ko) 2016-03-14
TW201022472A (en) 2010-06-16
JP2013062530A (ja) 2013-04-04
EP2657365A2 (en) 2013-10-30
EP3211121A3 (en) 2017-11-15
TW201341587A (zh) 2013-10-16
TWI408251B (zh) 2013-09-11
JP2010141335A (ja) 2010-06-24
KR101553308B1 (ko) 2015-09-16
EP2657365B1 (en) 2017-01-18

Similar Documents

Publication Publication Date Title
KR101179167B1 (ko) 다공성 유기실리케이트 막을 형성시키는 방법
KR101912534B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
US7923385B2 (en) Methods for producing low stress porous and CDO low-K dielectric materials using precursors with organic functional groups
TWI597380B (zh) 烷基-烷氧基矽環化合物及使用其的膜沉積方法
US7332445B2 (en) Porous low dielectric constant compositions and methods for making and using same
US7241704B1 (en) Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
TWI729417B (zh) 矽化合物及使用其沉積膜的方法
JP2022544951A (ja) ケイ素化合物、及びそのケイ素化合物を使用する膜を堆積するための方法
JP2022509213A (ja) 1-メチル-1-イソ-プロポキシ-シラシクロアルカン及びそれから製造される緻密有機シリカ膜

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180730

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190729

Year of fee payment: 8