KR20090098905A - BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식 - Google Patents

BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식 Download PDF

Info

Publication number
KR20090098905A
KR20090098905A KR1020097015688A KR20097015688A KR20090098905A KR 20090098905 A KR20090098905 A KR 20090098905A KR 1020097015688 A KR1020097015688 A KR 1020097015688A KR 20097015688 A KR20097015688 A KR 20097015688A KR 20090098905 A KR20090098905 A KR 20090098905A
Authority
KR
South Korea
Prior art keywords
layer
forming
conductive line
dielectric
diffusion barrier
Prior art date
Application number
KR1020097015688A
Other languages
English (en)
Other versions
KR101433410B1 (ko
Inventor
니콜라스 브라이트
데이비드 헴커
프리츠 레데커
예즈디 도르디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090098905A publication Critical patent/KR20090098905A/ko
Application granted granted Critical
Publication of KR101433410B1 publication Critical patent/KR101433410B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

BEoL (Back-End of Line) 상호접속 구조물, 및 그 제조 방법이 제공된다. 이 구조물은 보다 좁은 도전성 라인 및 감소된 전체 유전율 값을 특징으로 한다. 상호접속 구조물에 있어서 도전성 라인 및 비아를 주변 (surrounding) 유전체층으로부터 분리하기 위해, 컨포멀 확산 배리어층 및 선택적으로 형성된 캡핑층이 사용된다. 본 발명의 방법은, 보다 좁은 비아를 정의하기 위해 포토레지스트 마스크에서의 개구를 좁히는 기법을 채용한다. 보다 좁은 비아는, 비아와 도전성 라인 사이에서 허용될 수 있는 오정렬 (misalignment) 의 양을 증가시킨다.
상호접속 구조물, 캡핑층, 로우-k 층, 도전성 라인, 비아

Description

BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식{PROCESS INTEGRATION SCHEME TO LOWER OVERALL DIELECTRIC CONSTANT IN BEOL INTERCONNECT STRUCTURES}
이 출원은, 발명의 명칭이 "Process Integration Scheme to Lower Overall Dielectric Constant in BEoL Interconnect Structures" 이고 2006년 12월 26일자로 출원된 미국 특허 가출원 제60/887,279호의 이점을 주장하며, 참조로서 본 명세서에 통합되어 있다.
본 발명은 일반적으로 반도체 디바이스의 분야에 관한 것이며, 보다 구체적으로는 BEoL (Back-End of Line) 상호접속 구조물 및 그 제조 방법에 관한 것이다.
트랜지스터와 같은 피쳐 (feature) 의 더욱더 소형화를 통해, 적어도 부분적으로 증대된 반도체 디바이스 성능이 달성될 수 있다. 감소된 피쳐 사이즈, 및 피쳐들 간의 감소된 간격은, 더욱 큰 디바이스 성능을 위해 단위 면적에 더 많은 피쳐들이 배치되도록 허용한다. BEoL (Back-End of Line) 상호접속 구조물은 피쳐에 접속하는 도전성 라인을 포함한다. 피쳐 밀도가 증대됨에 따라, 도전성 라인의 폭, 및 도전성 라인들 간의 간격이 또한 보다 작게 스케일링될 필요가 있다.
BEoL 상호접속 구조물 내의 도전성 라인들이 더욱 좁게 됨에 따라 마주치는 하나의 문제점은, 이들 도전성 라인들에 대한 비아들의 위치지정에 관련된다. 이상적으로, 각 비아는 도전성 라인 상에 랜드 (land) 한다. 그러나, 비아들과 도전성 라인들이 충분히 오정렬될 경우, 비아들은 도전성 라인들 사이의 유전체 재료 상에 랜드할 것이고 따라서 도전성 라인들에 접속하는데 실패할 것이다. 비아가 도전성 라인 상에 부분적으로 랜드하고, 유전체 재료 상에 부분적으로 랜드할 경우, 도전성 라인과 비아 간의 전기 접속이 이루어지더라도, 비아로부터 유전체 재료로 구리가 유해하게 확산할 수 있다. 추가적으로, 전기 접속의 감소된 영역은, 불량 (failure) 및 감소된 수율을 야기할 수 있고, 비아에서의 보이드 (void) 형성을 촉진하는 더욱 높은 전류 밀도의 영역이 된다. 따라서, 도전성 라인들이 더욱 좁게 됨에 따라, 도전성 라인들 상에 비아들을 랜드하는 것은 더욱 큰 정렬 정확도를 요구한다.
또한, BEoL 상호접속 구조물 내의 도전성 라인들이 더욱 좁아지게 됨에 따라, 상호접속 구조물의 전체 유전율이 감소될 필요가 있다. 그러나, 전체 유전율은 상호접속 구조물에서의 여러 유전체층들에 걸친 평균이다. 이들 유전체층의 일부는 얇지만 전체 유전율을 상승시키는 불리하게 큰 유전율을 갖는 것을 특징으로 한다.
그리하여, BEoL 상호접속 구조물에서의 더욱 좁은 도전성 라인들과 비아들을 정렬시키는 방법, 그리고 보다 낮은 전체 유전율, 증대된 수율 및 보다 큰 신뢰도를 특징으로 하는 BEoL 상호접속 구조물이 요망된다.
상호접속 구조물을 제조하기 위한 본 발명의 예시적인 방법은, 도전성 라인을 형성하는 단계, 도전성 라인 위에 선택적으로 제 1 캡핑층 (first capping layer) 을 형성하는 단계, 및 제 1 캡핑층 위에 로우-k 층 (low-k layer) 을 형성하는 단계를 포함한다. 몇몇 예시에 있어서, 로우-k 층은 도전성 라인을 둘러싸는 유전체층과 접촉하여 형성된다. 이 방법은 또한 도전성 라인을 노출시키는 단계를 포함한다. 도전성 라인을 노출시키는 단계는, 로우-k 층 위에 개구를 갖는 마스크층을 형성하는 단계, 마스크층에서의 개구를 좁히는 단계, 및 좁혀진 개구를 로우-k 층을 관통하여 적어도 제 1 캡핑층까지 연장시킴으로써 비아 (via) 를 형성하는 단계를 포함한다. 이 방법은, 비아의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계, 및 비아를 제 1 도전성 재료로 충진하는 단계를 더 포함한다. 예컨대, 도전성 라인 및 제 1 도전성 재료는 구리를 포함할 수 있다. 이 방법은 비아 위에 선택적으로 제 2 캡핑층을 형성하는 단계를 더 포함할 수 있다. 몇몇 실시형태에 있어서, 제 1 확산 배리어층을 형성하는 단계는, 루테늄 또는 탄탈의 원자층 증착, 루테늄 또는 탄탈의 초임계 CO2 증착, 또는 화학 기상 증착, 플라즈마-강화 화학 기상 증착, 및 플라즈마-강화 원자층 증착으로 이루어진 그룹으로부터 선택된 방법에 의해 금속을 증착하는 단계를 포함할 수 있다.
몇몇 실시형태에 있어서, 도전성 라인을 형성하는 단계는, 유전체층을 형성하는 단계, 유전체층에 제 1 트렌치를 정의하는 단계, 및 제 1 트렌치를 제 2 도전성 재료로 충진하는 단계를 포함하고, 이 제 2 도전성 재료는 또한 구리일 수 있다. 여기서, 유전체층을 형성하는 단계는, 오르가노실리케이트 유리를 증착하는 단계를 포함할 수 있다. 도전성 라인을 형성하는 단계는, 또한 제 1 트렌치 내에 제 2 확산 배리어층을 형성하는 단계를 포함할 수 있다.
이 방법은 제 1 캡핑층 위에 및 유전체층 위에 유전체 배리어층을 형성하는 단계를 더 포함할 수 있다. 여기서, 비아를 형성하는 단계는, 좁혀진 개구를 유전체 배리어층을 관통하여 연장시키는 단계를 포함한다. 유전체층 위에 유전체 배리어층을 형성하는 단계를 포함하는 실시형태에 있어서, 유전체 배리어층을 형성하는 단계는, 실리콘 탄화물, 실리콘 질화물 또는 실리콘 카본 질화물을 증착하는 단계를 포함할 수 있다.
다양한 실시형태에 있어서, 제 1 캡핑층을 형성하는 단계는, 코발트 또는 코발트-합금의 무전해 도금을 포함하고, 로우-k 층을 형성하는 단계는, 오르가노실리케이트 유리를 증착하는 단계를 포함하고, 마스크층을 형성하는 단계는, 포토레지스트층을 증착하는 단계, 및 포토레지스트층에 개구를 형성하는 단계를 포함한다. 이들 후자의 실시형태의 몇몇에 있어서, 마스크층을 형성하는 단계는, 포토레지스트층을 형성하기 전에 하드 마스크층 (hard mask layer) 을 형성하는 단계를 포함한다. 몇몇 실시형태에 있어서, 개구를 좁히는 단계는, 개구의 측벽들 상에 컨포멀 증착층 (conformal deposition layer) 을 형성하는 단계를 포함한다. 이들 실시형태의 몇몇에 있어서, 컨포멀 증착층을 형성하는 단계는, 증착과 에칭 사이의 사이클링 (cycling) 을 포함한다. 몇몇 실시형태에 있어서, 비아를 형성하는 단계는, 좁혀진 개구를 제 1 캡핑층을 관통하여 도전성 라인까지 연장시키는 단계를 포함할 수 있다.
몇몇 실시형태에 있어서, 비아를 형성한 후 그리고 제 1 확산 배리어층을 형성하기 전에, 이 방법은 로우-k 층에 제 2 트렌치를 형성하는 단계를 더 포함하며, 이 트렌치는 비아와 정렬된다. 여기서, 비아의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계는, 제 2 트렌치의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계를 포함한다. 또한, 비아를 제 2 도전성 재료로 충진하는 단계는, 제 2 트렌치를 구리로 충진하는 단계를 포함할 수 있다.
본 발명의 예시적인 상호접속 구조물은, 도전성 라인, 도전성 라인 위에 배치된 제 1 유전체층, 및 제 1 유전체층과 도전성 라인 사이에 배치되며 도전성 라인 위에 선택적으로 배치된 제 1 캡핑층을 포함한다. 상호접속 구조물은 또한 제 1 유전체층을 관통하고 제 1 캡핑층을 관통하여 배치된 도전성 비아, 및 도전성 비아와 제 1 유전체층 사이에 배치된 확산 배리어층을 포함한다. 몇몇 실시형태에 있어서, 도전성 라인의 임계 치수 (critical dimension) 는 60 nm 이하이다. 다양한 실시형태에 있어서, 제 1 캡핑층은 코발트를 포함하고, 확산 배리어층은 루테늄 또는 탄탈을 포함한다.
몇몇 실시형태에 있어서, 상호접속 구조물은 제 1 유전체층 위에 배치된 유전체 배리어층을 더 포함하고, 도전성 비아는 유전체 배리어층을 관통하여 배치된다. 상호접속 구조물은 또한 도전성 비아 위에 배치된 제 2 캡핑층을 포함할 수 있다. 몇몇 실시형태에 있어서, 상호접속 구조물은, 도전성 라인 주위에 배치된 제 2 유전체층을 더 포함하고, 이들 실시형태의 몇몇에 있어서, 상호접속 구조물은 제 1 유전체층과 제 2 유전체층 사이에 배치된 유전체 배리어층을 더 포함한다.
본 발명의 다른 예시적 상호접속 구조물은, 제 1 유전체층 내에 배치된 제 1 도전성 라인, 제 1 도전성 라인 위에 배치되고 제 1 유전체층과 접촉하는 제 2 유전체층, 및 제 2 유전체층과 제 1 도전성 라인 사이에 배치된 제 1 캡핑층을 포함한다. 상호접속 구조물은 또한, 제 2 유전체층을 관통하여 적어도 제 1 캡핑층까지 배치된 도전성 비아, 및 도전성 비아와 제 2 유전체층 사이에 배치된 제 1 확산 배리어층을 포함한다. 몇몇 예시에 있어서, 상호접속 구조물은 제 1 도전성 라인과 제 1 유전체층 사이에 배치된 제 2 확산 배리어층을 더 포함한다. 몇몇 실시형태에 있어서, 제 1 확산 배리어층은 루테늄 또는 탄탈을 포함할 수 있다.
몇몇 실시형태에 있어서, 상호접속 구조물은 제 2 유전체층 내에 배치된 제 2 도전성 라인을 더 포함하고, 도전성 비아는 제 2 도전성 라인과 접촉한다. 여기서, 상호접속 구조물은 제 2 도전성 라인 위에 선택적으로 배치된 제 2 캡핑층을 더 포함할 수 있다. 몇몇 예시에 있어서, 도전성 비아는, 제 1 캡핑층을 관통하여 제 1 도전성 라인까지 배치된다.
상호접속 구조물을 제조하는 또 다른 예시적인 방법은, 유전체층에 제 1 도전성 라인을 형성하는 단계, 제 1 도전성 라인 위에 선택적으로 제 1 캡핑층을 형성하는 단계, 및 제 1 캡핑층 위에 그리고 유전체층과 접촉하여 로우-k 층을 형성하는 단계를 포함한다. 이 방법은, 로우-k 층 위에 개구를 갖는 마스크층을 형성하는 단계, 마스크층에서의 개구를 좁히는 단계, 및 좁혀진 개구를 로우-k 층을 관통하여 적어도 제 1 캡핑층까지 연장시킴으로써 비아를 형성하는 단계를 포함하는, 도전성 라인을 노출시키는 단계를 더 포함한다. 이 방법은, 비아의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계, 및 비아를 제 1 도전성 재료로 충진하는 단계를 더 포함한다. 몇몇 실시형태에 있어서, 좁혀진 개구를 적어도 제 1 캡핑층까지 연장시키는 것은, 좁혀진 개구를 제 1 캡핑층을 관통하여 제 1 도전성 라인까지 연장시키는 것을 포함한다. 유전체층에 제 1 도전성 라인을 형성하는 단계는, 유전체층에 제 2 확산 배리어층을 형성하는 단계를 포함할 수 있다. 이 방법은 또한 로우-k 층에 제 2 도전성 라인을 형성하는 단계를 포함할 수 있다. 이들 실시형태의 몇몇에 있어서, 이 방법은 제 2 도전성 라인 위에 선택적으로 제 2 캡핑층을 형성하는 단계를 더 포함할 수 있다.
도 1 내지 도 9a 는 본 발명의 예시적인 실시형태에 따른, 제조의 연속 단계들에서의 상호접속 구조물의 단면도들이다.
도 9b 는 본 발명의 다른 예시적인 실시형태에 따른 상호접속 구조물의 단면도이다.
도 10 내지 도 14 는 본 발명의 다른 예시적인 실시형태에 따른, 제조의 연속 단계들에서의 상호접속 구조물의 단면도들이다.
도 15 는 본 발명의 또 다른 예시적인 실시형태에 따른 상호접속 구조물의 단면도이다.
본 발명은 감소된 전체 유전율 값을 특징으로 하는 BEoL (Back-End of Line) 상호접속 구조물을 형성하는 방법을 제공한다. 유전율의 감소는, 예컨대, 고 유전율을 갖는 유전체 배리어층의 제거 및/또는 보다 얇은 확산 배리어층의 대체를 통해 달성된다. 본 발명의 방법은, 도전성 라인 및 비아를 주변 유전체층으로부터 분리시키기 위해 선택적으로 형성된 캡핑층 및 컨포멀 확산 배리어층을 채용한다. 본 발명의 방법은 또한 포토레지스트 마스크에서의 개구를 좁히는 기법을 채용하여 보다 좁은 비아를 정의한다. 보다 좁은 비아를 채용하는 것은, 개구를 형성하기 위해 사용되는 마스크의 레지스트레이션 (registration) 에서 허용될 수 있는 오정렬 (misalignment) 의 양을 증가시킨다. 증가된 허용 오차 (tolerance) 는, 이번에는 보다 좁은 도전성 라인을 허용하고 나아가서 증가된 라우팅 밀도 (routing density) 를 허용한다.
도 1 은 유전체층 (110) 에 의해 인접 라인들 (도시하지 않음) 로부터 분리된 도전성 라인 (100) 의 단면도를 제공한다. 몇몇 실시형태에 있어서, 도전성 라인 (100) 은 구리를 포함한다. 예컨대, 유전체층 (110) 은 SiO2 를 포함할 수 있다. 유전체층 (110) 은 또한 낮은 유전율 ("로우-k (low-k)") 재료, 즉, SiO2 의 유전율보다 작은 유전율을 갖는 재료를 포함할 수 있다. 이러한 로우-k 재료, 특히 3 보다 더 낮은 유전율을 갖는 로우-k 재료는, 완성된 디바이스에 우수한 전기적 특성을 부여하기 때문에 반도체 디바이스 제조에 더욱 더 유리하다. 적 합한 로우-k 재료의 예는, 플루오로실리케이트 유리 (FSG; fluorosilicate glass), 오르가노실리케이트 유리 (OSG; organosilicate glass), 및 고도의 다공성 SiO2 를 포함한다. 오르가노실리케이트 유리의 일 예는, 블랙 다이아몬드 (BD; Black Diamond) 레벨 1 과 같은 카본-도핑된 산화물 막이다.
몇몇 실시형태에 있어서, 유전체층 (110) 의 최상위 (topmost) 부분은, 유전체층 (110) 상에 응력 (stress) 을 가할 수 있는 평탄화와 같은 후속 동작 동안 유전체층 (110) 을 보호하는 기능을 하는 보다 내구성 있는 재료 (도시하지 않음) 를 포함할 수 있다. 몇몇 예시에 있어서, 이 최상위 부분에 대한 보다 내구성 있는 재료는 증착에 의해 형성될 수 있다. 다른 예시에 있어서, 보다 내구성 있는 재료는, 유전체층 (110) 을 형성하는 후반 단계 동안 성장 조건을 변경함으로써 형성된다. 이런 방식에서, 유전체층 (110) 은, 그 최상위 부분이 유전체층 (110) 의 벌크와는 상이한 미세 구조를 갖는 연속 막을 포함한다. 예컨대, 오르가노실리케이트 유리가 성장되어 유전체층 (110) 을 형성하기 때문에, 성장 조건을 변화시켜 성장하는 오르가노실리케이트 유리의 미세 구조를 변경하여 최상위 부분을 형성하도록 할 수 있다. 여기서, 예컨대, 유전체층 (110) 의 벌크와 비교해서, 최상위 부분은 증가된 밀도 또는 상이한 조성을 가질 수 있다. 변화된 미세 구조는 최상위 부분에 유전체층 (110) 의 벌크보다 더 큰 구조적 무결성 (structural integrity) 을 제공한다.
도전성 라인 (100) 은, 종래의 리소그래픽 마스킹 및 에칭 프로세스들에 의 해서와 같이, 유전체층 (110) 에 트렌치를 정의하고, 후속하여 트렌치를 구리 (Cu) 로 충진함으로써 형성될 수 있다. 몇몇 실시형태에 있어서, 트렌치를 구리로 충진하는 것은 트렌치 내에 확산 배리어층 (120) 을 형성한 다음에 수행된다. 확산 배리어층 (120) 은 도전성 라인 (100) 으로부터 유전체층 (110) 으로의 유해한 구리 확산에 대한 배리어로서 기능한다. 몇몇 실시형태에 있어서, 도전성 라인 (100) 은 무전해 도금에 의해 형성되고, 이들 실시형태에 있어서, 확산 배리어층 (120) 은 또한 구리를 도금하는 도전성 코팅을 제공한다. 무전해 도금을 위한 방법은, 예컨대, 발명의 명칭이 "Self-Limiting Plating Method" 이고 2006년 12월 20일자로 출원된 미국 특허 출원 제11/643,404호, 발명의 명칭이 "Plating Solution for Electroless Deposition of Copper" 이고 2006년 5월 25일자로 출원된 미국 특허 출원 제11/382,906호, 발명의 명칭이 "Plating Solutions for Electroless Deposition of Copper" 이고 2006년 6월 28일자로 출원된 미국 특허 출원 제11/427,266호, 발명의 명칭이 "Processes and Systems for Engineering a Barrier Surface for Copper Deposition" 이고 2006년 8월 30일자로 출원된 미국 특허 출원 제11/514,038호, 발명의 명칭이 "Controlled Ambient System for Interface Engineering" 이고 2006년 12월 15일자로 출원된 미국 특허 출원 제11/639,752호, 그리고 발명의 명칭이 "Electroless Deposition of Cobalt Alloys" 이고 2006년 12월 22일자로 출원된 미국 특허 출원 제11/644,697호에 교시되어 있으며, 각각은 본 명세서에 참조로서 통합되어 있다.
확산 배리어층 (120) 에 대해 적합한 재료의 예는, 루테늄 (Ru), 탄탈 (Ta), 및 탄탈 질화물 (TaN) 을 포함하고, 물리 기상 증착 (PVD), 원자층 증착 (ALD), 초임계 CO2 (SCCO2) 증착, 화학 기상 증착 (CVD), 플라즈마-강화 화학 기상 증착 (PECVD), 또는 플라즈마-강화 원자층 증착 (PEALD) 과 같은 기법을 통해 트렌치의 하부 표면 및 측벽들 상에 증착될 수 있다. 몇몇 실시형태에 있어서, 확산 배리어층 (120) 은, 트렌치를 충진하기 위해 후속 구리 증착을 행하기 위한 최상위층으로서, 예컨대, 구리, 탄탈 또는 루테늄의 시드층 (seed layer) 으로 끝나는 복수의 서브-층들을 포함한다. 시드층을 증착하기 위한 적합한 기법은 PVD 이다. 몇몇 예시에 있어서, 미국 특허 출원 제11/514,038호에도 기재된 바와 같이, 확산 배리어층 (120) 이 형성된 후에, 확산 배리어층 (120) 은 수소 함유 플라즈마로 처리되어 오염물질 및/또는 분해된 금속 산화물들을 제거한다. 예시적인 확산 배리어층 (120) 은 유전체층 (110) 과 접촉하는 탄탈 질화물 서브-층, 탄탈 질화물 서브-층 위에 배치된 탄탈 서브-층, 및 탄탈 서브-층 위에 배치된 구리 시드 서브-층을 포함하며, 각각은 PVD 에 의해 증착된 것이다.
확산 배리어층 (120) 또는 그 서브-층을 형성하기 위한 하나의 기법은, 두께의 함수로서 조성이 탄탈 질화물 (TaN) 로부터 탄탈로 변화하는 막을 형성하는 것을 포함한다. 여기서, 탄탈 질화물은 초기에, 탄탈 및 질소 모두를 증착 챔버에 도입함으로써 트렌치의 표면 상에 PVD 에 의해 형성된다. 그후, 질소의 공급이 제거되어, 증착 챔버에서의 이용가능한 질소가 고갈됨에 따라 성장하는 막에 조성 구배 (composition gradient) 가 형성된다. 질소가 없을 때 막이 완성되 고, 탄탈 표면을 생성한다. TaN/Ta 막을 형성하는 방법은, 예컨대, 발명의 명칭이 "Processes and Systems for Engineering a Silicon-Type Surface for Selective Metal Deposition to Form a Metal Silicide" 이고 2006년 8월 30일자로 출원된 미국 특허 출원 제11/513,446호에 교시되어 있으며, 본 명세서에 참조로서 통합되어 있다.
일단 도전성 라인 (100) 이, 예컨대, 구리의 무전해 도금에 의해 형성되었으면, 도 1 에 나타낸 바와 같이, 도전성 라인 (100) 위에 캡핑층 (130) 이 선택적으로 형성된다. 몇몇 실시형태에 있어서, 캡핑층 (130) 은 코발트 텅스텐 인화물 (CoWP), 코발트 텅스텐 붕화물 (CoWB), 또는 코발트 텅스텐 보로포스파이드 (CoWBP) 와 같은 코발트 합금 또는 코발트 (Co) 를 포함한다. 무전해 도금을 채용함으로써, 도전성 라인 (100) 상에 선택적으로 캡핑층 (130) 이 형성된다. 코발트 합금의 무전해 도금은, 예컨대, 상기 기술된 미국 특허 출원 제11/644,697호, 및 발명의 명칭이 "Processes and Systems for Engineering a Copper Surface for Selective Metal Deposition" 이고 2006년 8월 30일자로 출원된 미국 특허 출원 제11/513,634호에 교시되어 있고, 모두 본 명세서에 참조로서 통합되어 있다.
몇몇 실시형태는, 유전체층 (110) 위에 그리고 캡핑층 (130) 위에 배치된 선택적 유전체 배리어층 (115) 을 포함한다. 다른 실시형태들은 유전체 배리어층 (115) 을 생략하여 보다 낮은 전체 유전율을 달성한다. 유전체 배리어층 (115) 은 다양한 증착 기법에 의해 형성될 수 있다. 유전체 배리어층 (115) 에 대해 적합한 재료는 실리콘 탄화물 (SiC), 실리콘 질화물 (Si3N4) 및 실리콘 카본 질화물 (SiCN) 을 포함한다.
도 2 에 나타낸 바와 같이, 유전체 배리어층 (115) 위에 로우-k 층 (200) 이 형성된다. 선택적 유전체 배리어층 (115) 을 포함하지 않는 실시형태에 있어서, 로우-k 층 (200) 은 캡핑층 (130) 위에 그리고 유전체층 (110) 과 접촉하여 형성된다. 도 2 로부터, 확산 배리어층 (120) 및 캡핑층 (130) 은 로우-k 층 (200) 및 유전체층 (110) 으로의 구리 확산을 방지하는 것을 알 수 있다. 유전체층 (110) 에 대해 상술한 로우-k 재료에 추가하여, 로우-k 층 (200) 은 또한 블랙 다이아몬드로부터 형성될 수 있다.
또한, 유전체층 (110) 에 대해서와 마찬가지로, 로우-k 층 (200) 은 선택적 보다 내구성 있는 최상위 부분 (도시하지 않음) 을 포함할 수 있다. 예컨대, 이 최상위 부분은 상술한 바와 같이 변경된 미세 구조를 가지고 성장될 수 있다. 유사하게, 유전체 배리어층 (115) 에 대해서와 마찬가지로, 로우-k 층 (200) 의 상단부에 실리콘 탄화물, 실리콘 질화물 또는 실리콘 카본 질화물의 추가 유전체 배리어층 (도시하지 않음) 이 제공될 수 있다.
도 3 에 있어서, 로우-k 층 (200) 은 마스크층 (300) 으로 마스킹되어 도전성 라인 (100) 을 노출시키는 프로세스를 시작한다. 마스크층 (300) 은 포토레지스트층 (310), 및 이 포토레지스트층 (310) 과 로우-k 층 (200) 사이의 선택적 하드 마스크층 (320) 을 포함할 수 있다. 로우-k 층 (200) 이 유전체 배리어층 에 의해 덮여 있는 실시형태에 있어서, 이 유전체 배리어층은 또한 하드 마스크층 (320) 으로서 기능할 수 있다.
마스크층 (300) 은, 포토레지스트층 (310) 에 형성되고 도전성 라인 (100) 과 정렬된 개구 (330) 를 포함한다. 개구 (330) 의 위치에 있어서의 오정렬 에러를 보상하기 위해, 개구 (330) 를 좁게 하여 좁혀진 개구 (340) 을 형성한다. 마스크에서의 개구를 좁히는 것은 때때로, 마스크 수축 (mask shrinking) 또는 NGP (next generation patterning) 라고 불린다. 마스크 수축은, 예컨대, 증착과 에칭 사이를 교번하는 순환적 프로세스를 통해 개구 (330) 의 측벽들 상에 컨포멀 증착층 (350) 을 형성함으로써 달성될 수 있다. 적합한 마스크 수축 기법은, 발명의 명칭이 "Reduction of Etch Mask Feature Critical Dimensions" 이고 2004년 12월 16일자로 출원된 미국 특허 출원 제11/016,455호, 발명의 명칭이 "Pitch Reduction" 이고 2006년 5월 10일자로 출원된 미국 특허 출원 제11/432,194호, 및 발명의 명칭이 "Gas Distribution System having Fast Gas Switching Capabilities" 이고 2004년 4월 30일자로 출원된 미국 특허 출원 제10/835,175호에 기재되어 있고, 각각은 본 명세서에 참조로서 통합되어 있다.
도 4 에 나타낸 바와 같이, 도전성 라인 (100) 을 노출시키는 것은, 예컨대, 에칭에 의해, 좁혀진 개구 (340) 를, 만약 존재한다면 하드 마스크층 (320) 을 관통하고, 로우-k 층 (200) 을 관통하고, 만약 존재한다면 유전체 배리어층 (115) 을 관통하고, 그리고 캡핑층 (130) 을 관통하여 도전성 라인 (100) 까지 연장시킴으로써 비아 (400) 를 형성하는 것을 더 포함한다. 여기서, 도전성 라인 (100) 이 에칭 스톱 (etch stop) 으로서 기능할 수 있다. 도전성 라인 (100) 을 노출시키는 것은 또한 좁혀진 개구 (340) 를 캡핑층 (130) 을 완전히 관통하지 않고 단지 캡핑층 (130) 까지 연장시킴으로써 달성될 수 있다는 것이 이해될 것이다. 여기서, 캡핑층 (130) 이 에칭 스톱으로서 기능할 수 있다. 이들 실시형태에서 도전성 라인 (100) 이 드러나지 (uncover) 않더라도, 캡핑층 (130) 은 그 자체로 전기 도전성이기 때문에, 좁혀진 개구 (340) 가 캡핑층 (130) 에 도달할 경우에 도전성 라인 (100) 은 전기적으로 노출된다. 도 5 에 나타낸 바와 같이, 비아 (400) 가 형성된 후에, 포토레지스트층 (310) 이 스트립될 수 있다. 몇몇 실시형태에 있어서, 이는 산소 플라즈마 에싱 (oxygen plasma ash) 과 같은 웨이퍼 세정 프로세스를 포함할 수 있다.
도 6 에서 알 수 있는 바와 같이, 도전성 라인 (100) 이 노출된 후에, 비아 (400) 의 측벽들 상에 그리고 만약 존재한다면 하드 마스크층 (320) 의 상단면을 가로질러 확산 배리어층 (600) 이 컨포멀하게 (conformally) 형성된다. 하드 마스크층 (320) 이 없을 때, 확산 배리어층 (600) 은 로우-k 층 (200) 의 상단면을 가로질러 형성된다. 확산 배리어층 (600) 에 대해 적합한 재료 및 증착 방법은 확산 배리어층 (120) 에 대해서 상기 설명되었다.
비아 (400) 의 측벽들이 본 명세서에서 평행하게 나타나 있지만, 에칭은 도전성 라인 (100) 을 향해 폭이 감소되는 약간 테이퍼 (tapered) 형상을 가지는 비아 (400) 를 생성할 수 있다는 것에 주의해야 한다. 다양한 실시형태에 있어서, 도전성 라인 (100) 과의 계면에서 측정되는 비아 (400) 의 폭은, 130 nm 이하, 즉, 100 nm, 80 nm, 65 nm, 50 nm 또는 32 nm 일 수 있다.
도 7 내지 도 9a 는 구리와 같은 도전성 재료로 비아 (400) 를 충진하는 예시적 프로세스를 도시한다. 도 7 에 있어서, 비아 (400) 내부 및 로우-k 층 (200) 위에 구리층 (700) 이 형성된다. 예컨대, 미국 특허 출원 제11/514,038호, 미국 특허 출원 제11/382,906호, 및 미국 특허 출원 제11/427,266호 (각각은 상술되었음) 에 기재된 바와 같이, 구리층은 확산 배리어층 (600) 상으로 먼저 구리를 무전해 도금하고, 후속하여 구리를 전기도금함으로써 형성될 수 있다. 도 8 에 나타낸 바와 같이, 구리층 (700) 은 만약 존재한다면 하드 마스크층 (320) 까지 다시 평탄화될 수 있고, 또는 다른 경우에 로우-k 층 (200) 까지 다시 평탄화될 수 있다. 예컨대, 구리층 (700) 을 평탄화하기 위해 화학 기계적 연마 (CMP; Chemical Mechanical Polishing) 가 이용될 수 있다. 평탄화는 비아 (400) 내에 구리 플러그 (800; copper plug) 를 남기고, 또한 인접 구리 라인들 (100) 을 전기적으로 분리하기 위해 인접 구리 라인들 (100) 사이의 확산 배리어층 (600) 을 제거하는 기능을 한다. 그후, 도 9a 에 나타낸 바와 같이, 구리 플러그 (800) 위에 캡핑층 (900) 이 선택적으로 형성된다. 캡핑층 (900) 은 캡핑층 (130) 에 대해 상기 설명한 방법 및 재료에 의해 형성될 수 있다. 캡핑층 (900) 위에 추가 유전체층 (도시하지 않음) 이 형성될 수 있다.
개구 (330) 을 좁혀서 비아 (400) 를 형성함으로써, 도전성 라인 (100) 위에 개구 (330) 를 위치지정하는데 더 적은 정확도가 요구된다는 것이 이해될 것이다. 다른 방식으로 표현하면, 비아 (400) 가 더욱 좁아짐에 따라, 결과로서 생기는 구리 플러그 (800) 가 또한 도전성 라인 (100) 에 대해 오정렬될 수 있지만 캡핑층 (130) 상에 여전히 랜드할 수 있다. 60 nm 의 임계 치수 (예컨대, 도 1 에서 도전성 라인 (100) 의 폭) 를 갖는 도전성 라인 (100) 및 34 nm 의 임계 치수를 갖는 구리 플러그 (800) 에 대해, 개구 (330) 의 배치는 13 nm 만큼 많이 좌우 양방향으로 변경될 수 있다. 도전성 라인 (100) 상에 완전히 비아 (400) 를 랜드할 수 있는 것은, 몇몇 실시형태로부터 유전체 배리어층 (115) 의 제거를 허용한다.
도 9a 는 또한 본 발명의 예시적인 상호접속 구조물 (905) 을 도시하는 기능을 한다. 상호접속 구조물 (905) 은, 유전체층 (915) 에 의해 인접 구리 라인들 (도시하지 않음) 로부터 분리된, 예컨대 구리의 도전성 라인 (910) 을 포함한다. 몇몇 예시에 있어서, 도전성 라인 (910) 은 60 nm 이하의 임계 치수를 가진다. 몇몇 실시형태에 있어서, 유전체층 (915) 은 로우-k 재료를 포함할 수 있다. 상호접속 구조물 (905) 은 또한 도전성 라인 (910) 위에 배치된 캡핑층 (920) 을 포함한다. 캡핑층 (920) 에 대해 적합한 재료는 상기 설명된 코발트 합금 및 코발트를 포함한다. 상호접속 구조물 (905) 의 몇몇 실시형태는 도전성 라인 (910) 과 유전체층 (915) 사이에 확산 배리어층 (925) 을 포함한다. 몇몇 실시형태에 있어서, 확산 배리어층 (925) 은 탄탈 질화물, 탄탈, 루테늄, 구리, 그것의 합금들, 또는 그것의 서브-층들을 포함한다.
상호접속 구조물 (905) 은 유전체층 (915) 위에 배치된 유전체층 (930) 을 더 포함한다. 몇몇 실시형태에 있어서, 유전체층 (930) 은 또한 로우-k 재료를 포함할 수 있다. 몇몇 예시에 있어서, 유전체층 (915) 과 유전체층 (930) 사이 에 유전체 배리어층 (935) 이 배치된다. 상호접속 구조물 (905) 은 선택적으로 또한 유전체층 (930) 위에 배치된 유전체 배리어층 (940) 을 포함할 수 있다. 유전체 배리어층 (940) 에 대해 적합한 재료는 또한 실리콘 탄화물, 실리콘 질화물 및 실리콘 카본 질화물을 포함한다.
상호접속 구조물 (905) 은, 유전체층 (930) 을 관통하고 그리고 캡핑층 (920) 을 관통하여 배치된 (본 명세서에서 구리 플러그 (800) 라고도 불리는) 도전성 비아를 더 포함한다. 유전체 배리어층 (940) 을 포함하는 실시형태에 있어서, 도전성 비아는 또한 유전체 배리어층 (940) 을 관통하여 배치된다. 도전성 비아는 구리와 같은 도전성 재료를 포함할 수 있고, 몇몇 실시형태에 있어서는 도전성 라인 (910) 과 동일한 재료를 포함한다. 상호접속 구조물 (905) 은 또한 도전성 비아 위에 배치된 (본 명세서에서 캡핑층 (900) 이라고도 불리는) 캡핑층을 포함한다.
상호접속 구조물 (905) 의 몇몇 실시형태는 또한 도전성 비아와 유전체층 (930) 사이에 확산 배리어층 (945) 을 포함한다. 확산 배리어층 (945) 은 또한 도전성 비아와 도전성 라인 (910) 사이에 배치될 수 있다. 몇몇 실시형태에 있어서, 확산 배리어층 (945) 은 탄탈 질화물, 탄탈, 루테늄, 구리, 그것의 합금들, 또는 그것의 다중 층들을 포함한다.
도 9b 는 본 발명의 다른 예시적인 상호접속 구조물 (950) 을 도시한다. 상호접속 구조물 (950) 에 있어서, 상호접속 구조물 (905) (도 9a) 의 유전체 배리어층들 (935, 940) 이 생략되었고, 그리하여 보다 낮은 전체 유전율을 야기하였다. 또 다른 실시형태들은 유전체 배리어층들 (935, 940) 중 하나만을 포함하고 다른 하나를 생략할 수 있다는 것이 이해될 것이다.
상호접속 구조물 (905) (도 9a) 및 상호접속 구조물 (950) (도 9b) 에 있어서, 도전성 비아는, 도시된 바와 같이, 캡핑층 (920) 을 관통하여 배치될 수 있고, 캡핑층 (920) 을 부분적으로 관통하여 배치될 수 있고, 또는 캡핑층 (920) 까지만 연장시킬 수 있다는 것이 이해될 것이다. 캡핑층 (920) 의 재료의 전기 저항이 도전성 비아 및 도전성 라인 (910) 의 재료의 전기 저항보다 더 높을 수도 있지만, 캡핑층 (920) 은 부여된 전기 저항이 무시가능한 정도로 충분히 얇을 수 있다. 또한, 상호접속 구조물들 (905 및 950) 모두에 있어서의 확산 배리어층 (945) 은 전체 비아 저항을 감소시키기 위해 양호한 전기 도전성을 갖는 재료로 형성되는 것이 바람직하다는 것이 이해될 것이다.
도 10 내지 도 14 는 듀얼 다마신 (dual damascene) 상호접속 구조물을 형성하는 것에 관련된 본 발명의 다른 예시적인 방법을 도시한다. 도 10 에 있어서, (도 5 에서와 마찬가지로) 비아 (400) 를 도전성 라인 (100) 까지 연장시킴으로써 도전성 라인 (100) 을 노출시킨 후, 예컨대, 당업자에게 공지된 "비아 퍼스트 (via first)" 및 "트렌치 퍼스트 (trench first)" 프로세스 시퀀스를 이용하여 달성될 수 있듯이, 로우-k 층 (200) (및 만약 존재한다면 하드 마스크층 (320)) 이 다시 마스킹되고 에칭되어, 비아 (400) 와 정렬되는 트렌치 (1000) 를 형성한다. 도 11 에 있어서, 비아 (400) 의 측벽들 및 트렌치 (1000) 상에, 그리고 만약 존재한다면 하드 마스크층 (320) 의 상단면을 가로질러, 또는 다른 경우에 로우-k 층 (200) 의 상단면을 가로질러 확산 배리어층 (1100) 이 형성된다. 확산 배리어층 (1100) 은, 확산 배리어층 (600) 에 대해 상기 설명된 방법 및 재료로 형성될 수 있다.
그리하여, 도 12 에 나타낸 바와 같이, 비아 (400) 및 트렌치 (1000) 는, 예컨대, 구리층 (700) (도 7) 에 대해 상기 설명된 방법에 의해 구리층 (1200) 으로 충진된다. 도 13 에 나타낸 바와 같이, 트렌치들 (1000) 사이의 확산 배리어층 (1100) 을 제거하도록, 예컨대, CMP 에 의해, 구리층 (1200) 이 평탄화되어, 도전성 라인 (1300) 과, 이 도전성 라인 (1300) 에 도전성 라인 (100) 을 전기적으로 접속하는 도전성 비아 (1310) 를 형성한다. 그후, 도 14 에 나타낸 바와 같이, 도전성 라인 (1300) 위에 캡핑층 (1400) 이 선택적으로 형성된다. 캡핑층 (1400) 은, 예컨대, 캡핑층 (900) (도 9) 에 대해 상기 설명된 방법 및 재료에 의해 형성될 수 있다.
도 14 는 또한 본 발명의 예시적인 상호접속 구조물 (1410) 을 도시하는 기능을 한다. 도 15 는 본 발명의 또 다른 예시적인 상호접속 구조물 (1500) 을 도시한다. 상호접속 구조물 (1500) 에 있어서, 상호접속 구조물 (1410) (도 14) 의 유전체 배리어층들이 생략되었다. 다른 실시형태들은 유전체 배리어층들 중 하나만을 포함하고 다른 하나를 생략할 수 있다는 것이 이해될 것이다. 또한, 도 9a 및 도 9b 에 대해 상기 설명된 바와 같이, 도전성 비아 (1310) 는 캡핑층까지 배치될 수 있고, 캡핑층을 부분적으로 관통하여 배치될 수 있고, 또는 캡피층을 완전히 관통하여 도전성 라인 (100) 위에 배치될 수 있다.
이상 본 명세서에 있어서, 본 발명을 그것의 특정 실시형태들을 참조하여 설명하였지만, 당업자는 본 발명이 이들 특정 실시형태들에 한정되지 않는다는 것을 인식할 것이다. 상술한 발명의 다양한 특징 및 양태는 개별적으로 또는 조합하여 이용될 수도 있다. 또한, 본 발명은 본 명세서의 보다 넓은 사상 및 범위로부터 벗어나지 않는 한 본 명세서에 기재된 것들을 능가하는 임의의 수의 환경 및 애플리케이션에서 이용될 수 있다. 따라서, 본 명세서 및 도면은 한정으로서라기 보다는 예시로서 간주되어야 한다.

Claims (43)

  1. 도전성 라인을 형성하는 단계;
    상기 도전성 라인 위에 선택적으로 제 1 캡핑층 (first capping layer) 을 형성하는 단계;
    상기 제 1 캡핑층 위에 로우-k 층 (low-k layer) 을 형성하는 단계;
    상기 도전성 라인을 노출시키는 단계로서,
    상기 로우-k 층 위에 개구를 갖는 마스크층을 형성하는 단계,
    상기 마스크층에서의 상기 개구를 좁히는 단계, 및
    상기 좁혀진 개구를 상기 로우-k 층을 관통하여 적어도 상기 제 1 캡 핑층까지 연장시킴으로써 비아 (via) 를 형성하는 단계를 포함하는, 상기 도전성 라인을 노출시키는 단계;
    상기 비아의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계; 및
    상기 비아를 제 1 도전성 재료로 충진하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  2. 제 1 항에 있어서,
    상기 도전성 라인을 형성하는 단계는,
    유전체층을 형성하는 단계,
    상기 유전체층에 제 1 트렌치를 정의하는 단계, 및
    상기 제 1 트렌치를 제 2 도전성 재료로 충진하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  3. 제 2 항에 있어서,
    상기 유전체층을 형성하는 단계는, 오르가노실리케이트 유리를 증착하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  4. 제 2 항에 있어서,
    상기 도전성 라인을 형성하는 단계는, 상기 제 1 트렌치 내에 제 2 확산 배리어층을 형성하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  5. 제 1 항에 있어서,
    유전체층 위에 및 상기 제 1 캡핑층 위에 유전체 배리어층을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는, 상기 좁혀진 개구를 상기 유전체 배리어층을 관통하여 연장시키는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  6. 제 5 항에 있어서,
    상기 유전체 배리어층을 형성하는 단계는, 실리콘 탄화물, 실리콘 질화물 또는 실리콘 카본 질화물을 증착하는 단계를 포함하는, 상호접속 구조물의 제조 방 법.
  7. 제 1 항에 있어서,
    상기 비아를 형성하는 단계는, 상기 좁혀진 개구를 상기 제 1 캡핑층을 관통하여 상기 도전성 라인까지 연장시키는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  8. 제 1 항에 있어서,
    상기 제 1 캡핑층을 형성하는 단계는, 코발트 또는 코발트-합금의 무전해 도금을 포함하는, 상호접속 구조물의 제조 방법.
  9. 제 1 항에 있어서,
    상기 로우-k 층을 형성하는 단계는, 오르가노실리케이트 유리를 증착하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  10. 제 1 항에 있어서,
    상기 마스크층을 형성하는 단계는,
    포토레지스트층을 증착하는 단계, 및
    상기 포토레지스트층에 상기 개구를 형성하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  11. 제 10 항에 있어서,
    상기 마스크층을 형성하는 단계는, 상기 포토레지스트층을 형성하기 전에 하드 마스크층 (hard mask layer) 을 형성하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  12. 제 1 항에 있어서,
    상기 개구를 좁히는 단계는, 상기 개구의 측벽들 상에 컨포멀 증착층 (conformal deposition layer) 을 형성하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  13. 제 12 항에 있어서,
    상기 컨포멀 증착층을 형성하는 단계는, 증착과 에칭 사이의 사이클링 (cycling) 을 포함하는, 상호접속 구조물의 제조 방법.
  14. 제 1 항에 있어서,
    상기 제 1 확산 배리어층을 형성하는 단계는, 루테늄의 원자층 증착을 포함하는, 상호접속 구조물의 제조 방법.
  15. 제 1 항에 있어서,
    상기 제 1 확산 배리어층을 형성하는 단계는, 탄탈의 원자층 증착을 포함하는, 상호접속 구조물의 제조 방법.
  16. 제 1 항에 있어서,
    상기 제 1 확산 배리어층을 형성하는 단계는, 루테늄의 초임계 CO2 증착을 포함하는, 상호접속 구조물의 제조 방법.
  17. 제 1 항에 있어서,
    상기 제 1 확산 배리어층을 형성하는 단계는, 탄탈의 초임계 CO2 증착을 포함하는, 상호접속 구조물의 제조 방법.
  18. 제 1 항에 있어서,
    상기 제 1 확산 배리어층을 형성하는 단계는, 화학 기상 증착, 플라즈마-강화 화학 기상 증착, 및 플라즈마-강화 원자층 증착으로 이루어진 그룹으로부터 선택된 방법에 의해 금속을 증착하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  19. 제 1 항에 있어서,
    상기 비아를 형성한 후 그리고 상기 제 1 확산 배리어층을 형성하기 전에, 상기 로우-k 층에 제 2 트렌치를 형성하는 단계를 더 포함하며,
    상기 제 2 트렌치는 상기 비아와 정렬되는, 상호접속 구조물의 제조 방법.
  20. 제 19 항에 있어서,
    상기 비아의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계는, 상기 제 2 트렌치의 측벽들 상에 상기 제 1 확산 배리어층을 형성하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  21. 제 19 항에 있어서,
    상기 비아를 제 2 도전성 재료로 충진하는 단계는, 상기 제 2 트렌치를 구리로 충진하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  22. 제 1 항에 있어서,
    상기 비아 위에 선택적으로 제 2 캡핑층을 형성하는 단계를 더 포함하는, 상호접속 구조물의 제조 방법.
  23. 60 nm 이하의 임계 치수 (critical dimension) 를 갖는 도전성 라인;
    상기 도전성 라인 위에 배치된 제 1 유전체층;
    상기 제 1 유전체층과 상기 도전성 라인 사이에 배치되며 상기 도전성 라인 위에 선택적으로 배치된 제 1 캡핑층 (first capping layer);
    상기 제 1 유전체층을 관통하여 적어도 상기 제 1 캡핑층까지 배치된 도전성 비아 (conductive via); 및
    상기 도전성 비아와 상기 제 1 유전체층 사이에 배치된 확산 배리어층을 포함하는, 상호접속 구조물.
  24. 제 23 항에 있어서,
    상기 제 1 캡핑층은 코발트를 포함하는, 상호접속 구조물.
  25. 제 23 항에 있어서,
    상기 확산 배리어층은 루테늄을 포함하는, 상호접속 구조물.
  26. 제 23 항에 있어서,
    상기 확산 배리어층은 탄탈을 포함하는, 상호접속 구조물.
  27. 제 23 항에 있어서,
    상기 도전성 라인 주위에 배치된 제 2 유전체층을 더 포함하는, 상호접속 구조물.
  28. 제 27 항에 있어서,
    상기 제 1 유전체층과 상기 제 2 유전체층 사이에 배치된 유전체 배리어층을 더 포함하는, 상호접속 구조물.
  29. 제 23 항에 있어서,
    상기 제 1 유전체층 위에 배치된 유전체 배리어층을 더 포함하고,
    상기 도전성 비아는 상기 유전체 배리어층을 관통하여 배치되는, 상호접속 구조물.
  30. 제 29 항에 있어서,
    상기 유전체 배리어층은 실리콘 탄화물, 실리콘 질화물 또는 실리콘 카본 질화물을 포함하는, 상호접속 구조물.
  31. 제 23 항에 있어서,
    상기 도전성 비아 위에 배치된 제 2 캡핑층을 더 포함하는, 상호접속 구조물.
  32. 제 1 유전체층 내에 배치된 제 1 도전성 라인;
    상기 제 1 도전성 라인 위에 배치되고 상기 제 1 유전체층과 접촉하는 제 2 유전체층;
    상기 제 2 유전체층과 상기 제 1 도전성 라인 사이에 배치된 제 1 캡핑층;
    상기 제 2 유전체층을 관통하여 적어도 상기 제 1 캡핑층까지 배치된 도전성 비아; 및
    상기 도전성 비아와 상기 제 2 유전체층 사이에 배치된 제 1 확산 배리어층을 포함하는, 상호접속 구조물.
  33. 제 32 항에 있어서,
    상기 제 1 도전성 라인과 상기 제 1 유전체층 사이에 배치된 제 2 확산 배리어층을 더 포함하는, 상호접속 구조물.
  34. 제 32 항에 있어서,
    상기 제 1 확산 배리어층은 루테늄을 포함하는, 상호접속 구조물.
  35. 제 32 항에 있어서,
    상기 제 1 확산 배리어층은 탄탈을 포함하는, 상호접속 구조물.
  36. 제 32 항에 있어서,
    상기 제 2 유전체층 내에 배치된 제 2 도전성 라인을 더 포함하고,
    상기 도전성 비아는 상기 제 2 도전성 라인과 접촉하는, 상호접속 구조물.
  37. 제 36 항에 있어서,
    상기 제 2 도전성 라인 위에 선택적으로 배치된 제 2 캡핑층을 더 포함하는, 상호접속 구조물.
  38. 제 32 항에 있어서,
    상기 도전성 비아는, 상기 제 1 캡핑층을 관통하여 상기 제 1 도전성 라인까지 배치되는, 상호접속 구조물.
  39. 유전체층에 제 1 도전성 라인을 형성하는 단계;
    상기 제 1 도전성 라인 위에 선택적으로 제 1 캡핑층을 형성하는 단계;
    상기 제 1 캡핑층 위에 그리고 상기 유전체층과 접촉하여 로우-k 층 (low-k layer) 을 형성하는 단계;
    상기 도전성 라인을 노출시키는 단계로서,
    상기 로우-k 층 위에 개구를 갖는 마스크층을 형성하는 단계,
    상기 마스크층에서의 상기 개구를 좁히는 단계, 및
    상기 좁혀진 개구를 상기 로우-k 층을 관통하여 적어도 상기 제 1 캡 핑층까지 연장시킴으로써 비아 (via) 를 형성하는 단계를 포함하는, 상기 도전성 라인을 노출시키는 단계;
    상기 비아의 측벽들 상에 제 1 확산 배리어층을 형성하는 단계; 및
    상기 비아를 제 1 도전성 재료로 충진하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
  40. 제 39 항에 있어서,
    상기 좁혀진 개구를 적어도 상기 제 1 캡핑층까지 연장하는 것은, 상기 좁혀진 개구를 상기 제 1 캡핑층을 관통하여 상기 제 1 도전성 라인까지 연장시키는 것을 포함하는, 상호접속 구조물의 제조 방법.
  41. 제 39 항에 있어서,
    상기 로우-k 층에 제 2 도전성 라인을 형성하는 단계를 더 포함하는, 상호접속 구조물의 제조 방법.
  42. 제 41 항에 있어서,
    상기 제 2 도전성 라인 위에 선택적으로 제 2 캡핑층을 형성하는 단계를 더 포함하는, 상호접속 구조물의 제조 방법.
  43. 제 39 항에 있어서,
    상기 유전체층에 제 1 도전성 라인을 형성하는 단계는, 상기 유전체층에 제 2 확산 배리어층을 형성하는 단계를 포함하는, 상호접속 구조물의 제조 방법.
KR1020097015688A 2006-12-26 2007-12-12 BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식 KR101433410B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US87727906P 2006-12-26 2006-12-26
US60/877,279 2006-12-26
US11/732,198 US7521358B2 (en) 2006-12-26 2007-04-02 Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US11/732,198 2007-04-02
PCT/US2007/025461 WO2008085257A1 (en) 2006-12-26 2007-12-12 Process integration scheme to lower overall dielectric constant in beol interconnect structures

Publications (2)

Publication Number Publication Date
KR20090098905A true KR20090098905A (ko) 2009-09-17
KR101433410B1 KR101433410B1 (ko) 2014-08-26

Family

ID=39541670

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097015688A KR101433410B1 (ko) 2006-12-26 2007-12-12 BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식

Country Status (6)

Country Link
US (2) US7521358B2 (ko)
KR (1) KR101433410B1 (ko)
CN (1) CN101617394B (ko)
SG (1) SG177912A1 (ko)
TW (1) TWI423327B (ko)
WO (1) WO2008085257A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140107087A (ko) * 2013-02-27 2014-09-04 글로벌파운드리즈 인크. 금속 컨택과 상호 접속부 사이에 캐핑층을 가진 집적회로 및 그 제조 방법

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
KR20110000960A (ko) * 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8241944B2 (en) 2010-07-02 2012-08-14 Micron Technology, Inc. Resistive RAM devices and methods
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
CN103165514B (zh) * 2011-12-08 2015-07-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9685404B2 (en) * 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
JP6128787B2 (ja) * 2012-09-28 2017-05-17 キヤノン株式会社 半導体装置
DE102013107635B4 (de) * 2013-03-14 2020-10-29 Taiwan Semiconductor Mfg. Co., Ltd. Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur
DE102013104464B4 (de) * 2013-03-15 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur
US10032712B2 (en) 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9040421B2 (en) * 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
KR102344320B1 (ko) * 2017-08-11 2021-12-28 삼성전자주식회사 더미 콘택을 갖는 반도체 소자
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
EP3880865A2 (en) * 2018-11-13 2021-09-22 Corning Incorporated 3d interposer with through glas vias-method of increasing adhesion between copper and class surfaces and articles therefrom
KR20210127188A (ko) 2019-02-21 2021-10-21 코닝 인코포레이티드 구리-금속화된 쓰루 홀을 갖는 유리 또는 유리 세라믹 물품 및 이를 제조하기 위한 공정
WO2021003224A1 (en) * 2019-07-03 2021-01-07 Lam Research Corporation Method for etching features using a targeted deposition for selective passivation

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613375A (ja) * 1989-12-13 1994-01-21 Intel Corp 超大規模集積装置の相互接続レベルを形成する方法
IL120514A (en) * 1997-03-25 2000-08-31 P C B Ltd Electronic interconnect structure and method for manufacturing it
JP2000269336A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 半導体装置及び半導体装置の製造方法
US20020152955A1 (en) * 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
JP2001338978A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP3696055B2 (ja) * 2000-06-27 2005-09-14 シャープ株式会社 半導体装置の製造方法
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US6824612B2 (en) * 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
JP4193438B2 (ja) * 2002-07-30 2008-12-10 ソニー株式会社 半導体装置の製造方法
KR100503519B1 (ko) * 2003-01-22 2005-07-22 삼성전자주식회사 반도체 장치 및 그 제조방법
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7306662B2 (en) * 2006-05-11 2007-12-11 Lam Research Corporation Plating solution for electroless deposition of copper
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US6864181B2 (en) * 2003-03-27 2005-03-08 Lam Research Corporation Method and apparatus to form a planarized Cu interconnect layer using electroless membrane deposition
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7375033B2 (en) * 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
KR100588904B1 (ko) * 2003-12-31 2006-06-09 동부일렉트로닉스 주식회사 구리 배선 형성 방법
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7563348B2 (en) * 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
KR100690881B1 (ko) * 2005-02-05 2007-03-09 삼성전자주식회사 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
JP5186086B2 (ja) * 2005-04-11 2013-04-17 アイメック デュアル・ダマシン・パターニング・アプローチ
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
DE102005046975A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
US7300868B2 (en) * 2006-03-30 2007-11-27 Sony Corporation Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US20080113508A1 (en) * 2006-11-13 2008-05-15 Akolkar Rohan N Method of fabricating metal interconnects using a sacrificial layer to protect seed layer prior to gap fill
US7521358B2 (en) 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140107087A (ko) * 2013-02-27 2014-09-04 글로벌파운드리즈 인크. 금속 컨택과 상호 접속부 사이에 캐핑층을 가진 집적회로 및 그 제조 방법
US9305878B2 (en) 2013-02-27 2016-04-05 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects

Also Published As

Publication number Publication date
US20090134520A1 (en) 2009-05-28
WO2008085257A1 (en) 2008-07-17
SG177912A1 (en) 2012-02-28
US7521358B2 (en) 2009-04-21
US20080150138A1 (en) 2008-06-26
TWI423327B (zh) 2014-01-11
CN101617394B (zh) 2012-11-14
TW200836259A (en) 2008-09-01
US9076844B2 (en) 2015-07-07
KR101433410B1 (ko) 2014-08-26
CN101617394A (zh) 2009-12-30

Similar Documents

Publication Publication Date Title
KR101433410B1 (ko) BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식
US7915162B2 (en) Method of forming damascene filament wires
KR101382564B1 (ko) 에어갭을 갖는 층간 절연막의 형성 방법
US6953745B2 (en) Void-free metal interconnection structure and method of forming the same
US20040087148A1 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US7312532B2 (en) Dual damascene interconnect structure with improved electro migration lifetimes
US7888262B2 (en) Semiconductor device and manufacturing method of semiconductor device
WO2016196937A1 (en) Ruthenium metal feature fill for interconnects
TW201606934A (zh) 形成互連之方法
US20040061231A1 (en) Interconnect structure for an integrated circuit and method of fabrication
WO2006046487A1 (ja) 半導体装置および半導体装置の製造方法
WO2006088534A1 (en) Interconnect structures with encasing cap and methods of making thereof
US20040251547A1 (en) Method of a non-metal barrier copper damascene integration
US20050059231A1 (en) Semiconductor device and method for fabricating the same
CN1890795B (zh) 使用碳掺杂层和无碳氧化物层的双镶嵌工艺
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
JP2005129937A (ja) 低k集積回路相互接続構造
US20060226549A1 (en) Semiconductor device and fabricating method thereof
JP2010165760A (ja) 半導体装置及び半導体装置の製造方法
US20050184288A1 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
KR20090024854A (ko) 반도체 소자의 금속배선 및 그 형성방법
JP2004356315A (ja) 半導体装置及びその製造方法
CN117425956A (zh) 通过镶嵌导体的细分具有改进隔离的beol互连
KR20090127608A (ko) 반도체 소자의 금속배선 형성 방법
JP2005217346A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170809

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180807

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190806

Year of fee payment: 6