CN101617394A - 降低BEoL互连结构中的总介电常数的工艺集成方案 - Google Patents

降低BEoL互连结构中的总介电常数的工艺集成方案 Download PDF

Info

Publication number
CN101617394A
CN101617394A CN200780051761A CN200780051761A CN101617394A CN 101617394 A CN101617394 A CN 101617394A CN 200780051761 A CN200780051761 A CN 200780051761A CN 200780051761 A CN200780051761 A CN 200780051761A CN 101617394 A CN101617394 A CN 101617394A
Authority
CN
China
Prior art keywords
layer
conductor wire
interconnection structure
dielectric
diffusion impervious
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200780051761A
Other languages
English (en)
Other versions
CN101617394B (zh
Inventor
尼古拉斯·布莱特
大卫·赫姆克
弗里茨·雷德克
耶兹迪·多尔迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101617394A publication Critical patent/CN101617394A/zh
Application granted granted Critical
Publication of CN101617394B publication Critical patent/CN101617394B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了后端线程(BEoL)互连结构及其制造方法。该结构的特征在于较窄的导电线和减小的总介电常数值。使用共形扩散阻挡层和选择性地形成的覆盖层来将互连结构中的导电线和过孔与周围的介电层隔离。本发明的方法采用缩窄光刻胶掩模中的开口的技术来形成较窄的过孔。更窄的开口增加过孔与导电线之间所能容许的不对准量。

Description

降低BEoL互连结构中的总介电常数的工艺集成方案
相关申请的交叉引用
[01]本申请要求与2006年12月26日提交且题为“ProcessIntegration Scheme to Lower Overall Dielectric Constant in BEoLInterconnect Structures”的美国临时专利申请No.60/887,279的权益,通过引用而将其并入本文。
技术领域
[02]本发明大体上涉及半导体器件领域,更具体地涉及用于其制造的后端线程(BEoL)互连结构。
背景技术
[03]通过特征(如晶体管)的进一步小型化,可以至少部分地实现提高的半导体器件性能。减小的特征尺寸和减小的特征之间的间距允许在单位面积中设置更多的特征以得到更好的器件性能。后端线程(BEoL)互连结构包括连接特征的导电线。随着特征密度的增大,导电线的宽度和导电线之间的间距也需要按比例缩小。
[04]使BEoL互连结构内的导电线变得更窄时遇到的一个问题在于过孔相对于那些导电线的定位。理想的是,每个过孔“落(land)”在导电线上。然而,如果过孔与导电线错位太多,则过孔将落在导电线之间的介电材料上并因此而不能连接到导电线。如果过孔部分落在导电线上而部分落在介电材料上,则虽然实现导电线与过孔之间的电气连接,但铜可能有害地从过孔扩散到介电材料中。另外,缩小的电气连接区域变成电流密度更高的区域,其加速过孔中的空隙(void)形成并可能导致失效和降低的成品率。因此,随着导电线变得更窄时,使过孔落在导电线上要求更高的对准精度。
[05]而且,使BEoL互连结构内的导电线变得更窄时,需要降低互连结构的总介电常数。然而,总介电常数是互连结构中的许多介电层的平均值。这些介电层中的某些虽然薄,但其特征在于令人讨厌的大介电常数,它提高了总的介电常数。
[06]因此,期望使过孔与BEoL互连结构中的更窄导电线对准的方法,和特征在于更低的总介电常数、提高的成品率、以及更高的可靠性的BEoL互连结构。
发明内容
[07]用于制造互连结构的本发明的示例性方法包括形成导电线、选择性地在该导电线上方形成第一覆盖层、以及在该第一覆盖层上方形成低k层。在某些情况下,将该低k层形成为与包围该导电线的介电层接触。该方法还包括使该导电层暴露。使该导电层暴露包括在该低k层上形成具有开口的掩模层、缩窄该掩模层中的该开口以及通过使该缩窄的开口穿过该低k层而至少延伸至该第一覆盖层来形成过孔。该方法还包括在该过孔的侧壁上形成第一扩散阻挡层并用第一导电材料来填充该过孔。该导电线和该第一导电材料可以包括例如铜。该方法还可以包括选择性地在该过孔上方形成第二覆盖层。在某些实施例中,形成该第一扩散阻挡层可以包括钌或钽的原子层沉积、钌或钽的超临界CO2沉积、或通过从由化学气相沉积、等离子体增强化学气相沉积以及等离子体增强原子层沉积组成的组中选取的方法来沉积金属。
[08]在某些实施例中,形成该导电线包括形成介电层、在该介电层中限定第一沟槽、并用也可以是铜的第二导电材料来填充该第一沟槽。这里,形成该介电层可以包括沉积有机硅酸盐玻璃。形成该导电线还可以包括在该第一沟槽内形成该第二扩散阻挡层。
[09]该方法还可以包括在该介电层上和该第一覆盖层上方形成介电阻挡层。这里,形成该该过孔包括使该缩窄的开口延伸穿过该介电阻挡层。在包括在该介电层上方形成该介电阻挡层的那些实施例中,形成该介电阻挡层可以包括沉积碳化硅、氮化硅或碳氮化硅。
[010]在各种实施例中,形成该第一覆盖层包括钴或钴合金的无电镀,形成该低k层包括沉积有机硅酸盐玻璃,形成该掩模层包括沉积光刻胶层和在该光刻胶层中形成开口。在这些之后的实施例中的某些中,形成该掩模层包括在形成该光刻胶层之前形成硬掩模层。在某些实施例中,缩窄该开口包括在该开口的侧壁上形成共形沉积层。在这些实施例中的某些中,形成该共形沉积层包括在沉积与蚀刻之间循环。在某些实施例中,形成该过孔可以包括使该缩窄的开口穿过该第一覆盖层而延伸至该导电线。
[011]在某些实施例中,在形成该过孔之后和形成该第一扩散阻挡层之前,该方法还包括在该低k层中形成第二沟槽,其中该沟槽与该过孔对准。这里,在该过孔的侧壁上形成该第一扩散阻挡层可以包括在该第二沟槽的侧壁上形成该第一扩散阻挡层。而且,用该第二导电材料填充该过孔还可以包括用铜来填充该第二沟槽。
[012]本发明的示例性互连结构包括导电线、设置在该导电线上方的第一介电层、以及设置在该第一介电层与该导电线之间并选择性地设置在该导电线上方的第一覆盖层。该互连结构还包括被设置为穿过该第一介电层并穿过该第一覆盖层的导电过孔、以及设置在该导电过孔与该第一介电层之间的扩散阻挡层。在某些实施例中,导电线的关键尺寸不超过60nm。在各种实施例中,该第一覆盖层包括钴,且该扩散阻挡层包括钌或钽。
[013]在某些实施例中,该互连结构还包括设置在该第一介电层上方的介电阻挡层,该导电过孔设置为穿过该介电阻挡层。该互连结构还可以包括设置在该导电过孔上方的第二覆盖层。在某些实施例中,该互连结构还包括设置在该导电线周围的第二介电层,在这些实施例中的某些中,该互连结构还包括设置在该第一与第二介电层之间的介电阻挡层。
[014]本发明的另一示例性互连结构包括设置在第一介电层内的第一导电线、设置在该第一导电线上方并与该第一介电层接触的第二介电层以及设置在该第二介电层与该第一导电线之间的第一覆盖层。该互连结构还包括被设置为穿过该第二介电层并至少到达该第一覆盖层的导电过孔,和设置在该导电过孔与该第二介电层之间的第一扩散阻挡层。在某些实例中,该互连结构还包括设置在该第一导电线与该第一介电层之间的第二扩散阻挡层。在某些实施例中,该第一扩散阻挡层可以包括钌或钽。
[015]在某些实施例中,该互连结构还包括设置在该第二介电层内的第二导电线,其中,该导电过孔与该第二导电线接触。这里,该互连结构还可以包括选择性地设置在该第二导电线上的第二覆盖层。在某些实例中,将该导电过孔设置为穿过该第一覆盖层并到达该第一导电线。
[16]制造互连结构的又一示例性方法包括在介电层中形成第一导电线、选择性地在该第一导电线上方形成第一覆盖层、以及在该第一覆盖层上方形成与该介电层接触的低k层。该方法还包括使该导电线暴露,使该导电线暴露包括在该低k层上方形成具有开口的掩模层、缩窄该掩模层中的该开口以及通过使该缩窄的开口延伸穿过该低k层并至少到达该第一覆盖层来形成过孔。该方法还包括在该过孔的侧壁上形成第一扩散阻挡层,并用第一导电材料填充该该过孔。在某些实施例中,使该缩窄的开口至少延伸至该第一覆盖层包括使该缩窄的开口延伸穿过该第一覆盖层并到达该第一导电线。在该介电层中形成该第一导电线可以包括在该介电层中形成第二扩散阻挡层。该方法还可以包括在该低k层中形成第二导电线。在这些实施例中的某些中,该方法还可以包括选择性地在该第二导电线上方形成第二覆盖层。
附图说明
[017]图1~9A是根据本发明的示例性实施例的处于连续制造阶段的互连结构的横截面图。
[018]图9B是根据本发明的另一实施例的互连结构的横截面图。
[019]图10~14是根据本发明的另一示例性实施例的处于连续制造阶段的互连结构的横截面图。
[020]图15是根据本发明的又一实施例的互连结构的横截面图。
具体实施方式
[021]本发明提供了用于形成以减小的总介电常数值为特征的后端线程(BEoL)互连结构的方法。例如,通过去除具有高介电常数的介电阻挡层和/或替换较薄扩散阻挡层来实现介电常数的降低。本发明的方法采用共形扩散阻挡层和选择性地形成的覆盖层来将导电线和过孔与周围的介电层隔离。本发明的方法还采用缩窄光刻胶掩模中的开口的技术来限定较窄的过孔。采用更窄过孔增加用来形成开口的掩模的配准中所能容许的不对准量。增大的容差转而(tolerance)允许有更窄的导电线并因此而允许增大的布线密度。
[022]图1提供被介电层110与邻线(未示出)隔离的导电线100的横截面图。在某些实施例中,导电线100包括铜。例如,介电层110可以包括SiO2。介电层110还可以包括低介电常数(“低k”)材料,一种介电常数比SiO2低的材料。此类低k材料,尤其是介电常数低于3的那些材料在半导体器件制造中越来越受欢迎,因为它们赋予成品器件优良的电气特性。合适的低k材料的示例包括氟硅酸盐玻璃(FSG)、有机硅酸盐玻璃(OSG)、以及高多孔SiO2。有机硅酸盐玻璃的一个示例是碳掺杂氧化膜,如黑金刚石(BD)等级1。
[023]在某些实施例中,介电层110的最上层部分可以包括用于在后续操作期间保护介电层110的更耐久材料(未示出),如可能对介电层110施加压力的平面化。在某些实例中,可以通过沉积来形成用于此最上层部分的更耐久材料。在其它实例中,通过在形成介电层110的后期阶段期间改变生长条件来形成所述更耐久材料。这样,介电层110包括连续膜,其中,最上层部分具有与介电层110的主体不同的微观结构。例如,生长有机硅酸盐玻璃以形成介电层110时,可以修改生长条件以改变生长的有机硅酸盐玻璃的微观结构,以便形成最上层部分。这里,例如,与介电层110的主体相比,该最上层部分可以具有增大的密度或不同的组份。经修改的微观结构为最上层部分提供比介电层110的主体更好的结构完整性。
[024]可以通过在介电层110中形成沟槽(如通过传统的光刻掩模和蚀刻工艺)继而用铜(填充)该沟槽而形成导电线100。在某些实施例中,在沟槽内形成扩散阻挡层120之后用铜填充沟槽。扩散阻挡层120充当对从导电线100到介电层100中的有害的铜扩散的阻挡。在某些实施例中,通过无电镀来形成导电线100,并且在这些实施例中,扩散阻挡层120还提供导电涂层,以在该导电涂层上镀铜。例如在于2006年12月20日提交且题为“Self-Limiting Plating Method”的美国专利申请11/643,404、于2006年5月25日提交且题为“PlatingSolution for Electroless Deposition of Copper”的美国专利申请11/382,906、于2006年6月28日提交且题为“Plating Solutions forElectroless Deposition of Copper”的美国专利申请11/427,266、于2006年8月30日提交且题为“Processes Systems for Engineering a BarrierSurface for Copper Deposition”的美国专利申请11/514,038、于2006年12月15日提交且题为“Controlled Ambient System for InterfaceEngineering”的美国专利申请11/639,752和于2006年12月22日提交且题为“Electroless Deposition of Cobalt Alloys”的美国专利申请11/644,697中教授了无电镀的方法,通过引用而将这些美国专利申请中的每一个并入本文。
[025]用于扩散阻挡层120的合适材料的示例包括钌(Ru)、钽(Ta)以及氮化钽(TaN),以及可以通过诸如物理气相沉积(PVD)、原子层沉积(ALD)、超临界CO2(SCCO2)沉积、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或等离子体增强原子层沉积(PEALD)等技术来将其沉积在沟槽的侧壁和底面上。在某些实施例中,扩散阻挡层120包括多个子层,其端接(ending)有例如铜、钽或钌种子层,用于播种后续铜沉积以填充沟槽的最上层。一种用于沉积种子层的合适技术是PVD。在某些情况下,形成扩散阻挡层120之后,用含氢等离子体来处理扩散阻挡层120以去除污染物和/或分解的金属氧化,如还在美国专利申请11/514,038中描述的那样。示例性扩散阻挡层120包括与介电层110接触的氮化钽子层、设置在子层上的钽子层以及设置在该钽子层上的铜种子子层,每个均通过PVD沉积。
[026]用于形成扩散阻挡层120或其子层的一种技术包括形成薄膜,该薄膜的组份随着厚度的变化而从氮化钽(TaN)改变为钽。这里,最初通过将钽和氮引入沉积室而在沟槽的表面上通过PVD形成氮化钽。接下来,去除氮的供应以便随着沉积室中的可用氮被耗尽而在生长膜中形成组份梯度。在没有氮的情况下完成薄膜,形成钽表面。例如,在于2006年8月30日提交且题为“Processes andSystems for Engineering a Silicon-Type Surface for Selective MetalDeposition to Form a Metal Suicide”的美国专利申请11/513,446中教授了用于形成TaN/Ta膜的方法,其通过引用结合在这里。
[027]一旦已通过例如铜的无电镀形成导电线100,则如图1所示,在导电线100上方选择性地形成覆盖层130。在某些实施例中,覆盖层130包括钴(Co)或诸如磷化钴钨(CoWP)、硼化钴钨(CoWB)、或硼磷化钴钨(CoWBP)等钴合金。通过采用无电镀,覆盖层130选择性地在导电线100上形成。例如,在均通过引用而并入本文的上述美国专利申请11/644,697和于2006年8月30日提交且题为“Processes and Systems for Engineering a Copper Surface forSelective Metal Deposition”的11/513,634中教授了钴合金的无电镀。
[028]某些实施例包括设置在介电层110上方和设置在覆盖层130上方的可选介电阻挡层115。其它实施例省略介电阻挡层115以实现较低的总介电常数。可以通过各种沉积技术来介电阻挡层115。用于介电阻挡层115的合适材料包括碳化硅(SiC)、氮化硅(Si3N4)和碳氮化硅(SiCN)。
[029]如图2所示,在介电阻挡层115上方形成低k层200。在不包括可选介电阻挡层115的那些实施例中,在覆盖层130上方形成低k层200且与介电层110接触。从图2可以看出,扩散阻挡层120和覆盖层130防止铜扩散到低k层200和介电层110中。除上文关于介电层110所述的低k材料之外,低k层200还可以由黑金刚石形成。
[030]而且,与介电层110一样,低k层200可以包括可选的更耐久最顶端部分(未示出)。例如,这个最上层部分可以生长有如上所述的修改的微结构。同样地,与介电阻挡层115的情况一样,可以在低k层200的顶部上提供碳化硅、氮化硅或碳氮化硅组成的另一介电阻挡层(未示出)。
[031]在图3中,用掩模层300来掩蔽低k层200以开始使导电线100暴露的过程。掩模层300可以包括光刻胶层310和光刻胶层310与低k层200之间的可选硬掩模层320。在低k层200被介电阻挡层覆盖的那些实施例中,此介电阻挡层还可以充当硬掩模层320。
[032]掩模层300包括在光刻胶层310中形成的与导电线100对准的开口330。为了补偿开口300位置的不对准误差,将开口330缩窄以形成缩窄的开口340。有时将缩窄掩模中的开口称为掩模收缩或下一代图案化(NGP)。可以例如通过在沉积和蚀刻之间交替的循环工艺在开口330的侧壁上形成共形沉积层350来实现掩模收缩。在于2004年12月16日提交且题为“Reduction of Etch Mask Feature CriticalDimensions”的美国专利申请11/016,455、于2006年5月10日提交且题为“Pitch Reduction”的美国专利申请11/432,194和于2004年4月30日提交且题为“Gas Distribution System having Fast Gas SwitchingCapabilities”的美国专利申请10/835,175中描述了合适的掩模收缩技术,通过引用而将这些美国专利申请中的每一个并入本文。
[033]如图4所示,使导电线100暴露还包括通过例如蚀刻使缩窄的开口340穿过硬掩模层320(如果存在)、穿过低k层200、穿过介电阻挡层115(如果存在)以及穿过覆盖层130延伸至导电线100而形成过孔400。这里,该导电线100可以充当蚀刻停止层。可以认识到,暴露该导电线100还可通过将该缩窄的开口340只延伸到该覆盖层130,而不穿过该覆盖层130来实现。这里,覆盖层130可以充当蚀刻停止层。虽然,在这些实施例中导电线100不是没有被覆盖,但由于覆盖层130本身是导电的,所以当缩窄开口340到达覆盖层130时,导电线100被电气地暴露。在形成过孔400之后,如图5所示,可以剥去光刻胶层310。在某些实施例中,这可以包括等晶片清洗工艺,如氧等离子体灰化。
[034]如图6所示,在使导电线100暴露之后,在过孔400的侧壁上以及整个硬掩模层320(如果存在)的顶面上共形地形成扩散阻挡层600。在没有硬掩模层320的情况下,在整个低k层200的顶面上形成扩散阻挡层600。上文已关于扩散阻挡层120描述了用于扩散阻挡层600的合适材料和沉积方法。
[035]应注意的是,虽然在本文中将过孔400的侧壁示为平行,但蚀刻会产生略呈锥形形状的过孔400,其宽度朝向导电线100减小。在各种实施例中,在与导电线100对接处测量的过孔400的宽度可以不大于130纳米(nm)、100nm、80nm、65nm、50nm或32nm。
[036]图7~9A示出了利用等导电材料(如铜)填充过孔400的示例性过程。在图7中,在过孔400内和低k层200上方形成铜层700。如例如上述的美国专利申请11/514,038、11/382,906和11/427,266中每个所述,可以通过将铜无电镀到扩散阻挡层600上,然后电镀铜来形成铜层。如图8所示,可以将铜层700平面化回到硬掩模层320(如果存在)或低k层200(如果硬掩模层320不存在)。例如可以使用化学机械抛光(CMP)来将铜层700平面化。平面化将铜插头800留在过孔400内并且还用于去除相邻铜线100之间的阻挡扩散层600以电气地隔离铜线100。接下来,如图9A所示,在铜插头800上方选择性地形成覆盖层900。可以通过上文关于覆盖层130所述的材料和方法来形成覆盖层900。可以在覆盖层900上方形成更多介电层(未示出)。
[037]应认识到的是,通过缩窄开口330以形成过孔400,在将开口300定位在导电线100上方要求较小的精度。换句话说,使过孔400变得更窄时,所得到的铜插头800可以相对于导电线100更不对准但仍然落在覆盖层130上。对于具有60nm的关键尺寸(例如图1中的导电线100的宽度)的导电线100和具有34nm的关键尺寸的铜插头800,开口300的设置可以沿着两个方向之一横向地改变多达13nm。能够使过孔400完全落在导电线100上允许从某些实施例中去除该介电阻挡层115。
[038]图9A还用于示出本发明的示例性互连结构905。互连结构905包括被介电层915与相邻铜线(未示出)隔离的由例如铜组成的导电线910。在某些情况下,导电线910具有不超过60nm的关键尺寸。在某些实施例中,介电层915可以包括低k材料。互连结构905还包括设置在导电线910上方的覆盖层920。用于覆盖层920的合适材料包括上述的钴和钴合金。互连结构910的某些实施例包括导电线910与介电层915之间的扩散阻挡层925。在某些实施例中,扩散阻挡层925包括氮化钽、钽、钌、铜、其合金或其子层。
[039]互连结构905还包括设置在介电层915上方的介电层930。在某些实施例中,介电层930还可以包括低k材料。在某些实例中,在介电层915、930之间设置介电阻挡层935。例如,介电阻挡层935可以包括碳化硅、氮化硅或碳氮化硅。互连结构905还可以可选地包括设置在介电层930上方的介电阻挡层940。用于介电阻挡层940的合适材料还包括碳化硅、氮化硅以及碳氮化硅。
[040]互连结构905还包括被设置为穿过介电层930和穿过覆盖层920的导电过孔(在这个示例中也称为铜插头800)。在包括介电阻挡层940的实施例中,还将导电过孔设置为穿过介电阻挡层940。导电过孔可以包括如铜的导电材料,并且在某些实施例中包括与导电线910相同的材料。互连结构905还包括设置在导电过孔上方的覆盖层(在这里也称为覆盖层900)。
[041]互连结构905的某些实施例还包括导电过孔与介电层930之间的扩散阻挡层945。还可以将扩散阻挡层945设置在导电过孔与导电线910之间。在某些实施例中,扩散阻挡层945包括氮化钽、钽、钌、铜、其合金或其多个层。
[042]图9B示出了本发明的另一示例性互连结构950。在互连结构950中,省略了互连结构905(图9A)的介电阻挡层935、940,得到较低的总介电常数。应认识到的是,别的实施例可以仅包括介电阻挡层935、940之一而省略另一个。
[043]应认识到的是在互连结构905(图9A)和950(图9B)中,导电过孔可以被设置为如所示地穿过覆盖层920、可以被设置为部分地穿过覆盖层920,或者可以仅仅延伸至覆盖层920。虽然覆盖层920的材料的电阻可以高于导电过孔和导电线910的材料的电阻,但覆盖层920可以足够薄以使增大的电阻率可忽略。而且,应理解的是,优选地由具有良好电导率的材料形成互连结构905和950两者中的扩散阻挡层945以减小总过孔电阻率。
[044]图10~14示出了关于形成双大马士革互连结构的本发明的另一示例性方法。在图10中,在通过使过孔400延伸至导电线100(如在图5中一样)来使导电线100暴露之后,再次掩蔽并蚀刻低k层200(和硬掩模层320,如果存在的话)以形成沟槽1000,如可以使用例如本领域技术人员已知的“先过孔”或“先沟槽”工艺程序而实现与过孔400对准。在图11中,在过孔400、沟槽1000的侧壁和整个硬掩模层320的顶面(如果存在)上或整个低k层200的顶面上(如果硬掩模层320不存在)形成扩散阻挡层1100。可以由上文关于扩散阻挡层600所述的材料和方法来形成扩撒阻挡层1100。
[045]然后,,如图12所示,用铜层1200填充过孔400和沟槽1000例如,通过上文关于铜层700(图7)所述的方法。如图13所示,例如通过CMP将铜层1200平面化,去除沟槽1000之间的扩散阻挡层1100,以形成导电线1300和将导电线100电气地连接到导电线1300的导电过孔1310。接下来,如图14所示,在导电线1300上方选择性地形成覆盖层1400。例如,可以通过上文关于覆盖层900(图9)所述的材料和方法来形成覆盖层1400。
[046]图14还用于示出本发明的示例性互连结构1410。图15示出本发明的又一示例性互连结构1500。在互连结构1500中,省略互连结构1410(图14)的介电阻挡层。应认识到的是,别的实施例可以仅包括该介电阻挡层之一而省略其它的。而且,如上文关于图9A和9B所述的,可以将导电过孔1310设置为到达导电线100上方的覆盖层、部分地穿过覆盖层或完全穿过覆盖层。
[047]在前述说明书中,参照本发明的特定实施例而描述了本发明,但本领域的技术人员应认识到本发明不限于此。可以单独地或共同地使用上述发明的各种特征和方面。此外,在不脱离本说明书的更广泛主旨和范围的情况下,可以在除本文所述之外的许多环境和应用中利用本发明。因此,应将本说明书和附图认为是说明性而非限制性的。

Claims (43)

1.一种制造互连结构的方法,包括:
形成导电线;
选择性地在该导电线上形成第一覆盖层;
在该第一覆盖层上形成低k层;
使该导电线暴露,包括
在该低k层上方形成具有开口的掩模层,
缩窄该掩模层中的该开口,以及
通过使该缩窄的开口穿过该低k层而至少延伸至该第一覆盖层来形成过孔;
在该过孔的侧壁上形成第一扩散阻挡层;以及
用第一导电材料填充该过孔。
2.根据权利要求1所述的方法,其中,形成该导电线包括
形成介电层,
在该介电层中限定第一沟槽,以及
用第二导电材料填充该第一沟槽。
3.根据权利要求2所述的方法,其中,形成该介电层包括沉积有机硅酸盐玻璃。
4.根据权利要求2所述的方法,其中,形成该导电线包括在该第一沟槽内形成第二扩散阻挡层。
5.根据权利要求1所述的方法,还包括在该介电层上方和该第一覆盖层上方形成介电阻挡层,以及形成该过孔包括使该缩窄的开口延伸穿过该介电阻挡层。
6.根据权利要求5所述的方法,其中,形成该介电阻挡层包括沉积碳化硅、氮化硅或碳氮化硅。
7.根据权利要求1所述的方法,其中,形成该过孔包括使该缩窄的开口穿过该第一覆盖层而延伸至该导电线。
8.根据权利要求1所述的方法,其中,形成该第一覆盖层包括钴或钴合金的无电镀覆。
9.根据权利要求1所述的方法,其中,形成该低k层包括沉积有机硅酸盐玻璃。
10.根据权利要求1所述的方法,其中,形成该掩模层包括沉积光刻胶层和在该光刻胶层中形成开口。
11.根据权利要求10所述的方法,其中,形成该掩模层包括在形成该光刻胶层之前形成硬掩模层。
12.根据权利要求1所述的方法,其中,缩窄该开口包括在该开口的侧壁上形成共形沉积层。
13.根据权利要求12所述的方法,其中,形成该共形沉积层包括在沉积与蚀刻之间循环。
14.根据权利要求1所述的方法,其中,形成该第一扩散阻挡层包括钌的原子层沉积。
15.根据权利要求1的方法,其中,形成该第一扩散阻挡层包括钽的原子层沉积。
16.根据权利要求1所述的方法,其中,形成该第一扩散阻挡层包括钌的超临界CO2沉积。
17.根据权利要求1所述的方法,其中,形成该第一扩散阻挡层包括钽的超临界CO2沉积。
18.根据权利要求1所述的方法,其中形成该第一扩散阻挡层包括通过从由化学气相沉积、等离子体增强化学气相沉积和等离子体增强原子层沉积组成的组中选择的方法来沉积金属。
19.根据权利要求1所述的方法,还包括在形成该过孔之后和形成该第一扩散阻挡层之前,在该低k层中形成第二沟槽,该沟槽与该过孔对准。
20.根据权利要求19所述的方法,其中,在该过孔的侧壁上形成该第一扩散阻挡层包括在该第二沟槽的侧壁上形成该第一扩散阻挡层。
21.根据权利要求19所述的方法,其中,用该第二导电材料填充该过孔包括用铜填充该第二沟槽。
22.根据权利要求1所述的方法,还包括选择性地在该过孔上方形成第二覆盖层。
23.一种互连结构,包括:
导电线,其具有不超过60nm的关键尺寸;
第一介电层,其设置在该导电线上;
第一覆盖层,其设置在该第一介电层与该导电线之间并选择性地设置在该导电线上方;
导电过孔,其被设置为穿过该第一介电层并至少到达该第一覆盖层;以及
扩散阻挡层,其设置在该导电过孔与该第一介电层之间。
24.根据权利要求23所述的互连结构,其中,该第一覆盖层包括钴。
25.根据权利要求23所述的互连结构,其中,该扩散阻挡层包括钌。
26.根据权利要求23所述的互连结构,其中,该扩散阻挡层包括钽。
27.根据权利要求23所述的互连结构,还包括设置在该导电线周围的第二介电层。
28.根据权利要求27所述的互连结构,还包括设置在该第一与第二介电层之间的介电阻挡层。
29.根据权利要求23所述的互连结构,还包括设置在该第一介电层上方的介电阻挡层,该导电过孔被设置为穿过该介电阻挡层。
30.根据权利要求29所述的互连结构,其中,该介电阻挡层包括碳化硅、氮化硅或碳氮化硅。
31.根据权利要求23所述的互连结构,还包括设置在该导电过孔上方的第二覆盖层。
32.一种互连结构,包括:
第一导电线,其设置在第一介电层内;
第二介电层,其设置在该第一导电线上并与该第一介电层接触;
第一覆盖层,其设置在该第二介电层与该第一导电线之间;
导电过孔,其被设置为穿过该第二介电层并至少到达该第一覆盖层;以及
第一扩散阻挡层,其设置在该导电过孔与该第二介电层之间。
33.根据权利要求32所述的互连结构,还包括设置在该第一导电线与该第一介电层之间的第二扩散阻挡层。
34.根据权利要求32所述的互连结构,其中,该第一扩散阻挡层包括钌。
35.根据权利要求32所述的互连结构,其中,该第一扩散阻挡层包括钽。
36.根据权利要求32所述的互连结构,还包括设置在该第二介电层内的第二导电线,其中该导电过孔与该第二导电线接触。
37.根据权利要求36所述的互连结构,还包括选择性地设置在该第二导电线上方的第二覆盖层。
38.根据权利要求32所述的互连结构,其中,该导电过孔被设置为穿过该第一覆盖层并到达该第一导电线。
39.一种制造互连结构的方法,包括:
在介电层中形成第一导电线;
选择性地在该第一导电线上方形成第一覆盖层;
在该第一覆盖层上方形成低k层并与该介电层接触;
使该导电线暴露,包括
在该低k层上方形成具有开口的掩模层,
缩窄该掩模层中的开口,以及
通过使该缩窄的开口延伸穿过该低k层并至少到达该第一覆盖层来形成过孔;
在该过孔的侧壁上形成第一扩散阻挡层;以及
用第一导电材料填充该过孔。
40.根据权利要求39所述的方法,其中,使该缩窄的开口至少延伸至该第一覆盖层包括使该缩窄的开口延伸穿过该第一覆盖层并到达该第一导电线。
41.根据权利要求39所述的方法,还包括在该低k层中形成第二导电线。
42.根据权利要求41所述的方法,还包括选择性地在该第二导电线上形成第二覆盖层。
43.根据权利要求39所述的方法,其中,在该介电层中形成该第一导电线包括在该介电层中形成第二扩散阻挡层。
CN2007800517618A 2006-12-26 2007-12-12 降低BEoL互连结构中的总介电常数的工艺集成方案 Active CN101617394B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US87727906P 2006-12-26 2006-12-26
US60/877,279 2006-12-26
US11/732,198 US7521358B2 (en) 2006-12-26 2007-04-02 Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US11/732,198 2007-04-02
PCT/US2007/025461 WO2008085257A1 (en) 2006-12-26 2007-12-12 Process integration scheme to lower overall dielectric constant in beol interconnect structures

Publications (2)

Publication Number Publication Date
CN101617394A true CN101617394A (zh) 2009-12-30
CN101617394B CN101617394B (zh) 2012-11-14

Family

ID=39541670

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800517618A Active CN101617394B (zh) 2006-12-26 2007-12-12 降低BEoL互连结构中的总介电常数的工艺集成方案

Country Status (6)

Country Link
US (2) US7521358B2 (zh)
KR (1) KR101433410B1 (zh)
CN (1) CN101617394B (zh)
SG (1) SG177912A1 (zh)
TW (1) TWI423327B (zh)
WO (1) WO2008085257A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104008996A (zh) * 2013-02-27 2014-08-27 格罗方德半导体公司 于金属接触和互连件间具覆盖层的集成电路及其制造方法
CN104040711A (zh) * 2012-01-11 2014-09-10 国际商业机器公司 后段电可编程熔断器
CN104593747B (zh) * 2008-12-01 2019-05-28 弗萨姆材料美国有限责任公司 使用含氧前体的介电阻挡层沉积

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
KR20110000960A (ko) * 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8241944B2 (en) 2010-07-02 2012-08-14 Micron Technology, Inc. Resistive RAM devices and methods
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
CN103165514B (zh) * 2011-12-08 2015-07-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6128787B2 (ja) * 2012-09-28 2017-05-17 キヤノン株式会社 半導体装置
DE102013107635B4 (de) * 2013-03-14 2020-10-29 Taiwan Semiconductor Mfg. Co., Ltd. Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur
DE102013104464B4 (de) * 2013-03-15 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur
US10032712B2 (en) 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9040421B2 (en) * 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
KR102344320B1 (ko) * 2017-08-11 2021-12-28 삼성전자주식회사 더미 콘택을 갖는 반도체 소자
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
EP3880865A2 (en) * 2018-11-13 2021-09-22 Corning Incorporated 3d interposer with through glas vias-method of increasing adhesion between copper and class surfaces and articles therefrom
KR20210127188A (ko) 2019-02-21 2021-10-21 코닝 인코포레이티드 구리-금속화된 쓰루 홀을 갖는 유리 또는 유리 세라믹 물품 및 이를 제조하기 위한 공정
WO2021003224A1 (en) * 2019-07-03 2021-01-07 Lam Research Corporation Method for etching features using a targeted deposition for selective passivation

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613375A (ja) * 1989-12-13 1994-01-21 Intel Corp 超大規模集積装置の相互接続レベルを形成する方法
IL120514A (en) * 1997-03-25 2000-08-31 P C B Ltd Electronic interconnect structure and method for manufacturing it
JP2000269336A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 半導体装置及び半導体装置の製造方法
US20020152955A1 (en) * 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
JP2001338978A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP3696055B2 (ja) * 2000-06-27 2005-09-14 シャープ株式会社 半導体装置の製造方法
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US6824612B2 (en) * 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
JP4193438B2 (ja) * 2002-07-30 2008-12-10 ソニー株式会社 半導体装置の製造方法
KR100503519B1 (ko) * 2003-01-22 2005-07-22 삼성전자주식회사 반도체 장치 및 그 제조방법
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7306662B2 (en) * 2006-05-11 2007-12-11 Lam Research Corporation Plating solution for electroless deposition of copper
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US6864181B2 (en) * 2003-03-27 2005-03-08 Lam Research Corporation Method and apparatus to form a planarized Cu interconnect layer using electroless membrane deposition
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7375033B2 (en) * 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
KR100588904B1 (ko) * 2003-12-31 2006-06-09 동부일렉트로닉스 주식회사 구리 배선 형성 방법
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7563348B2 (en) * 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
KR100690881B1 (ko) * 2005-02-05 2007-03-09 삼성전자주식회사 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
JP5186086B2 (ja) * 2005-04-11 2013-04-17 アイメック デュアル・ダマシン・パターニング・アプローチ
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
DE102005046975A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
US7300868B2 (en) * 2006-03-30 2007-11-27 Sony Corporation Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US20080113508A1 (en) * 2006-11-13 2008-05-15 Akolkar Rohan N Method of fabricating metal interconnects using a sacrificial layer to protect seed layer prior to gap fill
US7521358B2 (en) 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104593747B (zh) * 2008-12-01 2019-05-28 弗萨姆材料美国有限责任公司 使用含氧前体的介电阻挡层沉积
CN104040711A (zh) * 2012-01-11 2014-09-10 国际商业机器公司 后段电可编程熔断器
US9685404B2 (en) 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
CN104040711B (zh) * 2012-01-11 2017-10-03 国际商业机器公司 用于形成熔断器的方法
US9893011B2 (en) 2012-01-11 2018-02-13 International Business Machines Corporation Back-end electrically programmable fuse
CN104008996A (zh) * 2013-02-27 2014-08-27 格罗方德半导体公司 于金属接触和互连件间具覆盖层的集成电路及其制造方法

Also Published As

Publication number Publication date
US20090134520A1 (en) 2009-05-28
WO2008085257A1 (en) 2008-07-17
KR20090098905A (ko) 2009-09-17
SG177912A1 (en) 2012-02-28
US7521358B2 (en) 2009-04-21
US20080150138A1 (en) 2008-06-26
TWI423327B (zh) 2014-01-11
CN101617394B (zh) 2012-11-14
TW200836259A (en) 2008-09-01
US9076844B2 (en) 2015-07-07
KR101433410B1 (ko) 2014-08-26

Similar Documents

Publication Publication Date Title
CN101617394B (zh) 降低BEoL互连结构中的总介电常数的工艺集成方案
US20220115505A1 (en) Copper-filled trench contact for transistor performance improvement
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US7259463B2 (en) Damascene interconnect structure with cap layer
TWI406361B (zh) 於互連應用中形成可靠介層接觸之結構及方法
CN100399563C (zh) 半导体元件
US8372739B2 (en) Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US7488677B2 (en) Interconnect structures with encasing cap and methods of making thereof
US20020089063A1 (en) Copper dual damascene interconnect technology
WO2016196937A1 (en) Ruthenium metal feature fill for interconnects
US7799681B2 (en) Method for forming a ruthenium metal cap layer
US8021974B2 (en) Structure and method for back end of the line integration
CN101188210A (zh) 半导体结构的形成方法
US20080246148A1 (en) Electrical Interconnect Structures Having Carbon Nanotubes Therein and Methods of Forming Same
CN1890795B (zh) 使用碳掺杂层和无碳氧化物层的双镶嵌工艺
KR101141214B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US20060226549A1 (en) Semiconductor device and fabricating method thereof
KR100386628B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100629260B1 (ko) 선택적 장벽금속층을 갖는 반도체소자의 콘택 구조체형성방법
CN108155145A (zh) 一种半导体器件及其制造方法
KR20080105347A (ko) 반도체 소자의 금속 배선 형성 방법
KR20020091307A (ko) 반도체 소자의 금속 배선 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant