DE102013107635B4 - Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur - Google Patents

Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur Download PDF

Info

Publication number
DE102013107635B4
DE102013107635B4 DE102013107635.1A DE102013107635A DE102013107635B4 DE 102013107635 B4 DE102013107635 B4 DE 102013107635B4 DE 102013107635 A DE102013107635 A DE 102013107635A DE 102013107635 B4 DE102013107635 B4 DE 102013107635B4
Authority
DE
Germany
Prior art keywords
esl
conductive element
metal
dielectric layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102013107635.1A
Other languages
English (en)
Other versions
DE102013107635A1 (de
Inventor
Su-Jen Sung
Yi-Nien Su
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/922,051 external-priority patent/US9041216B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013107635A1 publication Critical patent/DE102013107635A1/de
Application granted granted Critical
Publication of DE102013107635B4 publication Critical patent/DE102013107635B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Damaszenstruktur, die aufweist:ein unteres leitfähiges Element (120) in einer unteren dielektrischen Schicht (110) mit niedrigem k-Wert (LK);eine erste Ätzstoppschicht (ESL) (130, 140) über dem unteren leitfähigen Element (120), wobei die erste ESL (130, 140) entweder eine Siliziumverbindung oder eine Metallverbindung aufweist;eine zweite ESL (130, 140) über der ersten ESL (130, 140), wobei die zweite ESL (130, 140) die jeweils andere der Siliziumverbindung oder der Metallverbindung aufweist;eine obere dielektrische LK-Schicht (150) über der zweiten ESL (130, 140); undein oberes leitfähiges Element (160) in der oberen dielektrischen LK-Schicht (150), wobei sich das obere leitfähige Element (160) durch die erste ESL (130) sowie die zweite ESL (130, 140) erstreckt und mit dem unteren leitfähigen Element (120) verbunden ist,wobei die ESL (140), welche die Siliziumverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 50 nm aufweist, unddie ESL (130), welche die Metallverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 10 nm aufweist, undwobei die ESL (140), welche die Siliziumverbindung aufweist, dicker ist als die ESL (130), welche die Metallverbindung aufweist.

Description

  • Hintergrund
  • Die Herstellung integrierter Chips kann weitestgehend in zwei Hauptgruppen unterteilt werden, die Front-end-of-the-line-Herstellung (FEOL) und die Back-end-of-the-line-Herstellung (BEOL). Die FEOL-Herstellung umfasst die Ausbildung von Bauteilen (z.B. Transistoren, Kondensatoren, Widerständen, usw.) innerhalb eines Halbleitersubstrates. Die BEOL-Herstellung umfasst die Ausbildung eines oder mehrerer Metallverbindungsschichten, welche innerhalb einer oder mehrerer nicht-leitender dielektrischer Schichten, die oberhalb des Halbleitersubstrates angeordnet sind, enthalten sind. Die Metallverbindungsschichten der BEOL verbinden einzelne Bauteile des FEOL mit externen Anschlussstiften eines integrierten Chips elektrisch.
  • Über viele Jahre wurde Aluminium als ein Metall für leitfähige Materialien für die elektrischen Verbindungen verwendet, wobei Siliziumdioxid als Nichtleiter verwendet wurde. Mit der Verkleinerung der Bauteile haben sich jedoch die Materialien für die Leiter und Nichtleiter geändert, um die Bauteilleistungsfähigkeit zu verbessern. Heutzutage wird häufig Kupfer als ein leitfähiges Material für die Verbindungen bei manchen Anwendungen verwendet. Materialien mit niedriger dielektrischer Konstante (k) sowie Materialien mit besonders niedrigem k-Wert (ELK), welche dielektrische Konstanten aufweisen, die niedriger als diejenige von Siliziumdioxid ist, werden bei manchen Entwicklungen verstärkt als Nichtleitermaterialien zwischen Verbindungen angewendet.
  • Die DE 10 2008 006 962 A1 beschreibt eine Damaszenstruktur und ein Verfahren zur Ausbildung einer Damaszenstruktur gemäß dem Stand der Technik. Die DE 10 2008 016 431 A1 beschreibt ein Halbleiterbauteil mit einem leitenden Deckmaterial für ein Kupfergebiet zur Verbesserung des Ätzwiderstand das.
  • Die Erfindung sieht eine Damaszenstrutur gemäß Anspruch 1 und ein Verfahren gemäß Anspruch 7 vor. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Die Aspekte der vorliegenden Offenbarung werden am besten anhand der nachstehenden genauen Beschreibung verstanden, wenn diese zusammen mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der üblichen Praxis in der Industrie verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und lediglich zum Zwecke der Veranschaulichung verwendet werden. Tatsächlich können die Abmessungen verschiedener Merkmale zur Vereinfachung der Diskussion willkürlich vergrößert oder verkleinert sein.
    • Die 1 - 3 sind Querschnittsansichten einer Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 4 ist eine Querschnittsansicht einer Damaszenstruktur 200 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 5 ist ein Flussdiagramm eines Verfahrens 500 für die Ausbildung der Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 6 - 12 sind Querschnittsansichten der Verbindungsstruktur 100 bei verschiedenen Herstellungsstadien gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • Genaue Beschreibung
  • Die vorliegende Offenbarung betrifft grundsätzlich Halbleiterstrukturen und insbesondere Verfahren für die Ausbildung einer Verbindungsstruktur.
  • Es sollte verstanden werden, dass die nachstehende Offenbarung viele unterschiedliche Ausführungsformen oder Beispiele für die Umsetzung unterschiedlicher Merkmale verschiedener Ausführungsformen bereitstellt. Bestimmte Beispiele für Komponenten und Anordnungen werden nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und nicht dazu vorgesehen, zu beschränken. Beispielsweise kann die Ausbildung eines ersten Merkmals „über“ oder „auf“ einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in unmittelbarem Kontakt miteinander ausgebildet sind, und ebenso Ausführungsformen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, so dass das erste und das zweite Merkmal nicht unmittelbar miteinander in Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszeichen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen vor.
  • Weiterhin können räumlich relative Ausdrücke wie „darunter“, „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen vorliegend zum Zwecke der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, die in den Figuren wiedergegeben sind, zu beschreiben. Die räumlich relativen Ausdrücke sind dazu vorgesehen, verschiedene Ausrichtungen des Bauteils bei der Verwendung oder im Betrieb zusätzlich zu den in den Figuren gezeigten Ausrichtungen mit aufzunehmen. Beispielsweise sollen in dem Fall, dass das in den Figuren gezeigte Bauteil umgedreht wird, Elemente, welche als „unterhalb“ oder „darunter“ in Bezug auf andere Elemente oder Merkmale beschrieben sind, anschließend „oberhalb“ der anderen Elemente oder Merkmale angeordnet sein. Somit kann der beispielhafte Ausdruck „unterhalb“ eine Ausrichtung sowohl oberhalb als auch unterhalb umfassen. Die Vorrichtung kann auch anderweitig orientiert sein (um 90° gedreht oder mit anderer Ausrichtung) und die hierin verwendeten räumlich relativen Angaben können dementsprechend auf ähnliche Weise ausgelegt werden.
  • Der beanspruchte Gegenstand wird nunmehr mit Bezug auf die Figuren beschrieben, wobei gleiche Bezugszeichen grundsätzlich dazu verwendet werden, um durchgehend auf gleiche Elemente zu verweisen. In der nachstehenden Beschreibung werden zum Zwecke der Erklärung verschiedene spezifische Einzelheiten dargelegt, um ein umfassendes Verständnis des beanspruchten Gegenstands zu bieten. Es ist jedoch offenkundig, dass der beanspruchte Gegenstand ohne diese spezifischen Einzelheiten ausgeführt werden kann. In anderen Fällen werden Strukturen und Bauteile in Form eines Blockdiagramms veranschaulicht, um die Beschreibung des beanspruchten Gegenstands zu vereinfachen. Es sollte verstanden werden, dass „Schicht“, wie es vorliegend verwendet wird, einen Bereich beschreibt, welcher nicht notwendigerweise eine gleichförmige Dicke aufweist. Beispielsweise ist eine Schicht ein Bereich, etwa ein Gebiet, welches willkürliche Grenzen aufweist. Gemäß einem anderen Beispiel ist eine Schicht ein Bereich, welcher zumindest eine geringfügige Dickenvariation aufweist.
  • Die 1 - 3 sind Querschnittsansichten einer Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Wie in 1 gezeigt ist, weist die Verbindungsstruktur 100 ein unteres leitfähiges Element 120 in einer unteren dielektrischen Schicht 110 mit niedrigem k-Wert (LK) auf; eine erste Ätzstoppschicht (ESL) 130 über dem unteren leitfähigen Element 120, wobei die erste ESL 130 eine Metallverbindung aufweist; eine obere dielektrische LK-Schicht 150 über der ersten ESL 130; sowie ein oberes leitfähiges Element 160 in der oberen dielektrischen LK-Schicht 150, wobei sich das obere leitfähige Element 160 durch die erste ESL 130 erstreckt und mit dem unteren leitfähigen Element 120 verbunden ist. Wie in 2 gezeigt ist, kann die Verbindungsstruktur 100 weiterhin eine zweite ESL 140 zwischen der oberen dielektrischen LK-Schicht 150 und der ersten ESL 130 aufweisen, wobei die zweite ESL 140 eine Siliziumverbindung aufweist. Wie in 3 gezeigt ist, kann die Verbindungsstruktur 100 weiterhin eine zweite ESL 140 zwischen der ersten ESL 130 und dem unteren leitfähigen Element 120 aufweisen, wobei die zweite ESL 140 eine Siliziumverbindung aufweist.
  • Ein dielektrisches Material der unteren dielektrischen LK-Schicht 110 oder der oberen dielektrischen LK-Schicht 150 weist ein Oxid, SiO2, SiOCH, Borophosphosilikatglas (BPSG), TEOS, Spin-on-Glas (SOG), undotiertes Silikatglas (USG), fluoriertes Silikatglas (FSG), ein Oxid mit hochdichtem Plasma (HDP), Plasma-verstärktes TEOS (PETEOS), Fluor-dotiertes Siliziumoxid, Kohlenstoff-dotiertes Siliziumoxid, poröses Siliziumoxid, poröses Kohlenstoff-dotiertes Siliziumoxid, organische Polymere oder Silizium-basierte Polymere auf. Das dielektrische Material weist eine dielektrische Konstante (k) von weniger als 3,9 auf. Bei manchen Ausführungsformen liegt k zwischen ungefähr 1,5 und ungefähr 2,8. Die untere dielektrische LK-Schicht 110 oder die obere dielektrische LK-Schicht 150 kann mit Hilfe von atomarer Schichtabscheidung (ALD), chemischer Dampfabscheidung (CVD), physikalischer Dampfabscheidung (PVD) oder Kombinationen dieser ausgebildet sein.
  • Das untere leitfähige Element 120 oder das obere leitfähige Element 160 weist Kupfer (Cu), Aluminium (Al), Silber (Ag), Gold (Au), Wolfram (W), oder Legierungen dieser auf. Das untere leitfähige Element 120 oder das obere leitfähige Element 160 kann ebenso eine oder mehrere Sperrschichten ausgewählt aus der Gruppe bestehend aus W, WN, Ti, Al, TiAl, TiN, TiAlN, Ta, TaC, TaN, TaCN, TaSiN, Mn, Zr, Nb oder Ru aufweisen. Das untere leitfähige Element 120 oder das obere leitfähige Element 160 kann mit Hilfe eines Prozesses einschließlich, jedoch nicht beschränkt auf, ALD, CVD, PVD, Sputter-Deposition, Plattierung oder Kombinationen dieser ausgebildet sein. Ein Planarisierungsprozess, etwa ein chemischmechanischer Planarisierungs-/Polierprozess (CMP) kann durchgeführt werden, um zumindest eines von oberer dielektrischer LK-Schicht 150 oder oberem leitfähigem Element 160 zu planarisieren. Bei manchen Ausführungsformen ist das untere leitfähige Element 120 eine Metallleitung. Bei manchen Ausführungsformen weist das obere leitfähige Element 160 eine Metallleitung 162 sowie eine Durchkontaktierung 164 auf, wobei sich die Durchkontaktierung 164 durch die erste ESL 130 hindurch erstreckt und mit dem unteren leitfähigen Element 120 verbunden ist. Bei manchen Ausführungsformen kann sich die Durchkontaktierung 164 weiterhin durch die zweite ESL 140 erstrecken.
  • Wie zuvor erwähnt, weist die erste ESL 130 eine Metallverbindung auf. Bei manchen Ausführungsformen weist die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallcarbid, ein Metallborid oder eine Kombination von zwei oder mehreren dieser auf. Bei manchen Ausführungsformen weist die Metallverbindung eines oder mehrere Metallelemente ausgewählt aus Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Chrom (Cr), Eisen (Fe), Mangan (Mn), Titan (Ti), Aluminium (Al), Hafnium (Hf), Tantal (Ta), Wolfram (W), Vanadium (V), Molybdänum (Mo), Palladium (Pd), oder Silber (Ag) auf. Die erste ESL 130 kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, molekularer Strahlepitaxie (MBE), Spin-on oder Kombinationen dieser ausgebildet sein. Bei manchen Ausführungsformen weist die erste ESL 130 eine Dicke zwischen ungefähr 1 nm und ungefähr 10 nm (ungefähr 10 Angström (Å) bis ungefähr 100 Angström (Å)) auf. Bei anderen Ausführungsformen weist die erste ESL 130 eine Dicke zwischen ungefähr ungefähr 2 nm und ungefähr 5 nm (20 Å und ungefähr 50 Å) auf. Bei alternativen Ausführungsformen weist die erste ESL 130 eine Dicke zwischen ungefähr 6 nm und 9 nm (ungefähr 60 und 90 Å) auf.
  • Der vorliegend verwendete Ausdruck „Trockenätzselektivität“ bezieht sich auf das Verhältnis (Trockenätzrate der oberen dielektrischen LK-Schicht 150 oder der unteren dielektrischen LK-Schicht 110) / (Trockenätzrate der ersten ESL 130 oder der zweiten ESL 140). Beispielsweise würde eine Trockenätzselektivität von 10 dazu führen, dass die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 während eines Trockenätzprozesses mit einer Rate entfernt wird, welche ungefähr 10 mal höher als diejenige ist, mit welcher die erste ESL 130 oder die zweite ESL 140 während des Trockenätzprozesses entfernt wird: Das Trockenätzratenverhältnis beträgt 10/1, was einer Trockenätzselektivität von ungefähr 10 entspricht. Bei manchen Ausführungsformen ist die erste ESL 130 eine hochselektive Ätzstoppschicht. Beispielsweise weist die erste ESL 130 eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von ungefähr 10 bis 200 auf.
  • Die 2 ähnelt der 1, mit der Ausnahme, dass die Verbindungsstruktur 100 erfindungsgemäß weiterhin die zweite ESL 140 zwischen der oberen dielektrischen LK-Schicht 150 und der ersten ESL 130 aufweist. Die 3 ähnelt der 1, mit der Ausnahme, dass die Verbindungsstruktur 100 weiterhin die zweite ESL 140 zwischen der ersten ESL 130 und dem unteren leitfähigen Element 120 aufweist. Die zweite ESL 140 weist eine Siliziumverbindung auf. Bei manchen Ausführungsformen weist die Siliziumverbindung ein Siliziumoxid, ein Siliziumnitrid, ein Siliziumcarbid, ein Siliziumborid oder eine Kombination von zweien oder mehreren dieser auf. Die zweite ESL 140 kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, MBE, Spin-on oder Kombinationen dieser ausgebildet sein. Bei manchen Ausführungsformen weist die zweite ESL 140 eine Dicke zwischen ungefähr 1 nm und ungefähr 50 nm (ungefähr 10 und ungefähr 500 Å) auf. Bei anderen Ausführungsformen weist die zweite ESL 140 eine Dicke zwischen ungefähr 5 nm und ungefähr 20 nm (ungefähr 50 und ungefähr 200 Å) auf. Bei alternativen Ausführungsformen weist die zweite ESL 140 eine Dicke zwischen ungefähr 25 nm und ungefähr 450 nm (ungefähr 250 und ungefähr 450 Å) auf.
  • Die zweite ESL 140 weist in Bezug auf eine dielektrische Schicht, etwa die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 der ersten ESL 130 eine abweichende Trockenätzselektivität auf. Bei manchen Ausführungsformen weist die zweite ESL 140 eine niedrigere Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 im Vergleich zu der ersten ESL 130 auf. Bei anderen Ausführungsformen weist die zweite ESL 140 eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von beispielsweise ungefähr 3 bis ungefähr 5 auf. Bei alternativen Ausführungsformen weist die zweite ESL 140 beispielsweise eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von ungefähr 15 oder weniger auf.
  • Die Verbindungsstrukturen der vorliegenden Offenbarung sind nicht auf die zuvor genannten Ausführungsformen beschränkt und können auch anders ausgestaltet sein. Zur Vereinfachung der Beschreibung und zur besseren Vergleichbarkeit zwischen den Ausführungsformen der vorliegenden Offenbarung sind die übereinstimmenden Komponenten bei jeder der nachstehenden Ausführungsformen mit übereinstimmenden Bezugszeichen gekennzeichnet. Um die Unterschiede zwischen den Ausführungsformen einfacher vergleichen zu können, wird die nachstehende Beschreibung die Unterschiede zwischen den verschiedenen Ausführungsformen hervorheben, wobei die übereinstimmenden Merkmale nicht umfassend beschrieben werden.
  • Die 4 ist eine Querschnittsansicht einer Damaszenstruktur 200 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Wie in 4 gezeigt ist, weist die Damaszenstruktur 200 ein unteres leitfähiges Element 120 in einer unteren dielektrischen Schicht 110 mit niedrigem k-Wert (LK) auf; eine erste Ätzstoppschicht (ESL) 230 über dem unteren leitfähigen Element 120, wobei die erste ESL 230 eine Silizium- oder eine Metallverbindung aufweist; eine zweite ESL 240 über der ersten ESL 230, wobei die zweite ESL 240 die jeweils andere der Silizium- oder Metallverbindung aufweist; eine obere dielektrische LK-Schicht 150 über der zweiten ESL 240; und ein oberes leitfähiges Element 160 in der oberen dielektrischen LK-Schicht 150, wobei sich das obere leitfähige Element 160 durch die erste ESL 230 und die zweite ESL 240 erstreckt und mit dem unteren leitfähigen Element 120 verbunden ist. Die 4 ähnelt der 2, mit der Ausnahme, dass die erste ESL 230 in 4 entweder die Silizium- oder die Metallverbindung aufweist, während die erste ESL 130 in 2 die Metallverbindung aufweist, wobei die zweite ESL 240 in 4 die jeweils andere Silizium- oder Metallverbindung aufweist, während die zweite ESL 140 in 2 die Siliziumverbindung aufweist.
  • Bei manchen Ausführungsformen weist die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallcarbid, ein Metallborid oder eine Kombination von zweien oder mehreren dieser auf. Bei manchen Ausführungsformen weist die Metallverbindung eines oder mehrere Metallelemente ausgewählt aus Ru, Ni, Co, Cr, Fe, Mn, Ti, Al, Hf, Ta, W, V, Mo, Pd oder Ag auf. Entweder die erste ESL 230 oder die zweite ESL 240, welche die Metallverbindung aufweist, kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, MBE, Spin-on oder Kombinationen dieser ausgebildet sein. Bei manchen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Metallverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 10 nm (ungefähr 10 Å und ungefähr 100 Ä) auf. Bei anderen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Metallverbindung aufweist, eine Dicke zwischen ungefähr 2 nm und ungefähr 5 nm (ungefähr 20 Å und ungefähr 50 Å) auf. Bei alternativen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Metallverbindung aufweist, eine Dicke zwischen ungefähr 6 nm und ungefähr 9 nm (ungefähr 60 und ungefähr 90 Å) auf.
  • Der hierin verwendete Ausdruck „Trockenätzselektivität“ bezieht sich auf das Verhältnis (Trockenätzrate der oberen dielektrischen LK-Schicht 150 oder der unteren dielektrischen LK-Schicht 110) / (Trockenätzrate der ersten ESL 230 oder der zweiten ESL 240). Beispielsweise würde eine Trockenätzselektivität von 10 dazu führen, dass die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 während eines Trockenätzprozesses mit einer Rate entfernt wird, welche ungefähr 10 mal höher ist als die Rate, mit welcher die erste ESL 230 oder die zweite ESL 240 während des Trockenätzprozesses entfernt wird: Das Trockenätzratenverhältnis beträgt 10/1, was einer Trockenätzselektivität von ungefähr 10 entspricht. Entweder die erste ESL 230 oder die zweite ESL 240, welche die Metallverbindung aufweist, ist gemäß manchen Ausführungsformen eine Ätzstoppschicht mit hoher Ätzselektivität. Beispielsweise weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Metallverbindung aufweist, eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von ungefähr 10 bis ungefähr 200 auf.
  • Bei manchen Ausführungsformen weist die Siliziumverbindung ein Siliziumoxid, ein Siliziumnitrid, ein Siliziumcarbid, ein Siliziumborid oder eine Kombination von zweien oder mehreren dieser auf. Entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, MBE, Spin-on oder Kombinationen dieser ausgebildet sein. Bei manchen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 50 nm (ungefähr 10 und ungefähr 500 Ä) auf. Bei anderen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, eine Dicke zwischen ungefähr 5 nm und ungefähr 20 nm (ungefähr 50 und ungefähr 200 Ä) auf. Bei alternativen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, eine Dicke zwischen ungefähr 25 nm und 45 nm (ungefähr 250 und 450 Ä) auf.
  • Die zweite ESL 240 weist in Bezug auf eine dielektrische Schicht wie die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 der ersten ESL 230 eine abweichende Trockenätzselektivität auf. Entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, weist eine niedrigere Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 im Vergleich zu der jeweils anderen von erster und zweiter ESL 230, 240 auf. Bei manchen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, in Bezug auf die obere dielektrische LK-Schicht 150 eine Trockenätzselektivität von ungefähr 3 bis ungefähr 15 auf. Bei anderen Ausführungsformen weist entweder die erste ESL 230 oder die zweite ESL 240, welche die Siliziumverbindung aufweist, beispielsweise eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von ungefähr 15 oder weniger auf.
  • Die 5 ist ein Flussdiagramm eines Verfahrens 500 für die Ausbildung der Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Es sollte verstanden werden, dass zusätzliche Schritte vor, während oder nach dem Verfahren 500 vorgesehen sein können, und dass einige der beschriebenen Schritte bei anderen Ausführungsformen des Verfahrens ersetzt oder ausgelassen werden können. Das Verfahren 500 beginnt mit dem Schritt 510, bei welchem ein unteres leitfähiges Element in einer unteren dielektrischen Schicht mit niedrigem k-Wert (LK) ausgebildet wird. Das Verfahren 500 setzt mit dem Schritt 520 fort, bei welchem eine erste Ätzstoppschicht (ESL) über dem unteren leitfähigen Element ausgebildet wird, wobei die erste ESL eine Metallverbindung aufweist. Das Verfahren 500 setzt mit dem Schritt 530 fort, bei welchem eine obere dielektrische LK-Schicht über der ersten ESL ausgebildet wird. Das Verfahren 500 setzt mit dem Schritt 540 fort, bei welchem ein oberes leitfähiges Element in der oberen dielektrischen LK-Schicht durch die erste ESL und verbunden mit dem unteren leitfähigen Element ausgebildet wird. Das Verfahren 500 kann weiterhin das Ausbilden einer zweiten ESL zwischen der oberen dielektrischen LK-Schicht und der ersten ESL aufweisen, wobei die zweite ESL eine Siliziumverbindung aufweist. Das Verfahren 500 kann weiterhin das Ausbilden einer zweiten ESL zwischen der ersten ESL und dem unteren leitfähigen Element aufweisen, wobei die zweite ESL eine Siliziumverbindung aufweist. Die nachstehende Diskussion veranschaulicht Ausführungsformen der Verbindungsstruktur 100, welche gemäß dem Verfahren 500 nach 5 hergestellt werden können.
  • Die 6 - 12 sind Querschnittsansichten der Verbindungsstruktur 100 bei verschiedenen, auf die beanspruchte Erfindung hinführenden Herstellungsstadien gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Wie in 6 und dem Schritt 510 in 5 gezeigt ist, startet das Verfahren 500 mit dem Schritt 510, der Ausbildung eines unteren leitfähigen Elementes 120 in einer unteren dielektrischen Schicht 110 mit niedrigem k-Wert (LK). Das untere leitfähige Element 120 weist bei manchen Ausführungsformen beispielsweise eine leitfähige Leitung oder einen leitfähigen Stecker auf. Bei manchen Ausführungsformen ist das untere leitfähige Element 120 eine Metallleitung. Das untere leitfähige Element 120 kann beispielsweise unter Verwendung einer Damaszen- oder einer Substratätztechnologie ausgebildet werden. Das untere leitfähige Element 120 kann unter Verwendung eines Prozesses einschließlich, jedoch nicht beschränkt auf, ALD, CVD, PVD, Sputter-Deposition, Plattierung oder Kombinationen dieser ausgebildet werden. Das untere leitfähige Element 120 weist Cu, Al, Ag, Au, W oder Legierungen dieser auf. Das untere leitfähige Element 120 kann ebenso eine oder mehrere Sperrschichten ausgewählt aus einer Gruppe von W, WN, Ti, Al, TiAl, TiN, TiAlN, Ta, TaC, TaN, TaCN, TaSiN, Mn, Zr, Nb oder Ru sein. Alternativ kann das untere leitfähige Element 120 andere Materialien aufweisen und unter Verwendung anderer Verfahren ausgebildet werden.
  • Wie in 7 und im Schritt 520 gemäß 5 dargestellt ist, setzt das Verfahren 500 mit dem Schritt 520 fort, dem Ausbilden einer ersten Ätzstoppschicht (ESL) 130 über dem unteren leitfähigen Element 120, wobei die erste ESL 130 eine Metallverbindung aufweist. Die erste ESL 130 kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, MBE, Spin-on oder Kombinationen dieser ausgebildet werden. Bei manchen Ausführungsformen weist die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallcarbid, ein Metallborid oder eine Kombination von zweien oder mehreren dieser auf. Bei manchen Ausführungsformen weist die Metallverbindung eines oder mehrere Metallelemente ausgewählt aus Ru, Ni, Co, Cr, Fe, Mn, Ti, Al, Hf, Ta, W, V, Mo, Pd oder Ag auf. Bei manchen Ausführungsformen weist die erste ESL 130 eine Dicke zwischen ungefähr 1 nm und ungefähr 10 nm (ungefähr 10 und ungefähr 100 Å) auf. Bei anderen Ausführungsformen weist die erste ESL 130 eine Dicke zwischen ungefähr 2 nm und ungefähr 5 nm (ungefähr 20 und ungefähr 50 Å) auf. Bei alternativen Ausführungsformen weist die erste ESL 130 eine Dicke zwischen ungefähr 6 nm und ungefähr 9 nm (ungefähr 60 und ungefähr 90 Å) auf. Gemäß manchen Ausführungsformen ist die ESL 130 eine hochselektive Ätzstoppschicht. Beispielsweise weist die erste ESL 130 eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von ungefähr 1 nm und ungefähr 20 nm (ungefähr 10 bis ungefähr 200 Angström) auf.
  • Wie in 8 sowie dem Schritt 530 gemäß 5 dargestellt ist, setzt das Verfahren 500 mit dem Schritt 530 fort, dem Ausbilden einer oberen dielektrischen LK-Schicht 150 über der ersten ESL 130. Die untere dielektrische LK-Schicht 110 oder die obere dielektrische LK-Schicht 150 können mit Hilfe von ALD, CVD, PVD oder Kombinationen dieser ausgebildet werden. Ein dielektrisches Material der unteren dielektrischen LK-Schicht 110 oder der oberen dielektrischen LK-Schicht 150 weist ein Oxid, Siliziumoxid, SiOCH, BPSG, TEOS, SOG, USG, FSG, HDPoxid, PETEOS, Fluor-dotiertes Siliziumoxid, Kohlenstoff-dotiertes Siliziumoxid, poröses Siliziumoxid, poröses Kohlenstoff-dotiertes Siliziumoxid, organische Polymere oder Silikon-basierte Polymere. Das dielektrische Material weist eine dielektrische Konstante (k) von weniger als 3,9 auf. Bei manchen Ausführungsformen beträgt k zwischen ungefähr 1,5 und ungefähr 2,8.
  • Das Verfahren 500 weist erfindungsgemäß weiterhin das Ausbilden einer zweiten ESL (nachfolgend nicht dargestellt) zwischen der oberen dielektrischen LK-Schicht 150 und der ersten ESL 130 auf, wobei die zweite ESL eine Siliziumverbindung aufweist. Das Verfahren 500 kann weiterhin das Ausbilden einer zweiten ESL (nachfolgend nicht dargestellt) zwischen der ersten ESL 130 und dem unteren leitfähigen Element 120 aufweisen, wobei die zweite ESL eine Siliziumverbindung aufweist. Die zweite ESL kann unter Verwendung eines geeigneten Verfahrens wie ALD, CVD, PVD, MBE, Spin-on oder Kombinationen dieser ausgebildet werden. Bei manchen Ausführungsformen weist die Siliziumverbindung ein Siliziumoxid, ein Siliziumnitrid, ein Siliziumcarbid, ein Siliziumborid oder eine Kombination von zweien oder mehreren dieser auf. Bei manchen Ausführungsformen weist die zweite ESL eine Dicke zwischen ungefähr 1 nm und ungefähr 50 nm (ungefähr 10 und ungefähr 500 Å) auf. Bei anderen Ausführungsformen weist die zweite ESL eine Dicke zwischen ungefähr 5 nm und ungefähr 20 nm (ungefähr 50 und ungefähr 200 Å) auf. Bei alternativen Ausführungsformen weist die zweite ESL eine Dicke zwischen ungefähr 25 nm und ungefähr 45 nm (ungefähr 250 und ungefähr 450 Å) auf. Die zweite ESL weist in Bezug auf eine dielektrische Schicht, etwa die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 der ersten ESL 130, eine abweichende Trockenätzselektivität auf. Bei manchen Ausführungsformen weist die zweite ESL in Bezug auf die obere dielektrische LK-Schicht 150 oder die untere dielektrische LK-Schicht 110 eine niedrigere Trockenätzselektivität als die erste ESL 130 auf.
  • Bei anderen Ausführungsformen weist die zweite ESL beispielsweise in Bezug auf die obere dielektrische LK-Schicht 150 eine Trockenätzselektivität von ungefähr 3 bis ungefähr 15 auf. Bei alternativen Ausführungsformen weist die zweite ESL beispielsweise in Bezug auf die obere dielektrische LK-Schicht 150 eine Trockenätzselektivität von ungefähr 15 oder weniger auf.
  • Wie in 8 dargestellt ist, weist das Verfahren weiterhin das Ausbilden einer dielektrischen Hartmaskenschicht 170 über der oberen dielektrischen LK-Schicht 150 sowie das Ausbilden einer metallischen Hartmaskenschicht 180 über der dielektrischen Hartmaskenschicht 170 auf. Die dielektrische Hartmaskenschicht 170 oder die metallische Hartmaskenschicht 180 können unter Verwendung von ALD, CVD, PVD oder Kombinationen dieser Prozesse abgeschieden werden. Bei manchen Ausführungsformen umfasst die elektrische Hartmaskenschicht 170 ein dielektrisches Material, etwa Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder andere geeignete Materialien. Bei manchen Ausführungsformen umfasst die metallische Hartmaskenschicht 180 eine Metallnitridverbindung, welche sich von der ersten ESL 130 unterschiedet, etwa TiN.
  • Wie in den 9 - 12 und 1 sowie in dem Schritt 540 gemäß 5 angegeben ist, setzt das Verfahren 500 mit dem Schritt 540 fort, dem Ausbilden eines oberen leitfähigen Elementes 160 in der oberen dielektrischen LK-Schicht 150 durch die erste ESL 130 hindurch und verbunden mit dem unteren leitfähigen Element 120. Wie in 9 dargestellt ist, weist der Schritt 540 das Ausbilden einer Öffnung 155 in der oberen dielektrischen LK-Schicht 150 über der ersten ESL 130 oder der zweiten ESL (nicht dargestellt) auf. Die Öffnung 155 kann eine Furche und/oder ein Durchkontaktierungssteckerloch umfassen. Die Öffnung 155 wird mit Hilfe eines Fotolithografieprozesses ausgebildet (z.B. indem zunächst die Metallhartmaskenschicht 180 und die dielektrische Hartmaskenschicht 170 strukturiert werden), gefolgt von einem Plasma-enthaltenden Trockenätzprozess. Der Trockenätzprozess kann ein Einzelschritt- oder ein mehrschrittiger Ätzprozess sein. Der Trockenätzprozess kann ein anisotropischer Ätzprozess sein. Der Trockenätzprozess kann reaktives Ionenätzen (RIE) und/oder andere geeignete Prozesse verwenden. Gemäß einem Beispiel wird ein Trockenätzprozess dazu verwendet, um die obere dielektrische LK-Schicht 150 zu ätzen, wobei dies eine Chemie umfasst, die ein Fluor-enthaltendes Gas aufweist. Wie bereits erwähnt, ist die erste ESL 130 gemäß manchen Ausführungsformen eine Ätzstoppschicht mit hoher Selektivität, wobei die zweite ESL in Bezug auf eine dielektrische Schicht der ersten ESL 130 eine abweichende Trockenätzselektivität aufweist. Beispielsweise weist die erste ESL 130 eine Trockenätzselektivität in Bezug auf die obere dielektrische LK-Schicht 150 von ungefähr 10 bis ungefähr 200 auf, wobei die zweite ESL in Bezug auf die obere dielektrische LK-Schicht 150 eine Trockenätzselektivität von ungefähr 15 oder weniger aufweist. Bei manchen Ausführungsformen kann der Trockenätzprozess einen gewissen Schwund der ersten ESL 130 verursachen, wobei er jedoch nicht durch diese hindurchtreten wird. Bei anderen Ausführungsformen kann der Trockenätzprozess durch die zweite ESL hindurchtreten, jedoch an der ersten ESL 130 enden. Bei alternativen Ausführungsformen kann der Trockenätzprozess durch die erste ESL 130 hindurchtreten, jedoch an der zweiten ESL enden.
  • Wie in 10 dargestellt ist, weist der Schritt 540 das Entfernen der metallischen Hartmaskenschicht 180 mit Hilfe eines Nassätzprozesses auf. Die erste ESL 130 oder die zweite ESL weist eine hohe Nassätzselektivität in Bezug auf die metallische Hartmaskenschicht 180 auf. Der hierin verwendete Ausdruck „Nassätzselektivität“ bezieht sich auf das Verhältnis (Nassätzrate der metallischen Hartmaskenschicht 180) / (Nassätzrate der ersten ESL 130 oder der zweiten ESL). Beispielsweise würde eine Nassätzselektivität von 10 dazu führen, dass die metallische Hartmaskenschicht 180 während eines Nassätzprozesses mit einer Rate entfernt wird, die ungefähr 10 mal höher als diejenige ist, mit welcher die erste ESL 130 oder die zweite ESL während des Nassätzprozesses entfernt wird: Das Nassätzratenverhältnis beträgt 10/1, welches einer Nassätzselektivität von ungefähr 10 entspricht. Daher kann für den Fall, dass die metallische Hartmaskenschicht 180 während des Nassätzprozesses entfernt wird, die erste ESL 130 oder die zweite ESL das untere leitfähige Element 120 davor schützen, durch den Nassätzprozess beschädigt zu werden.
  • Wie in 11 gezeigt wird, weist der Schritt 540 das Ausbilden der Öffnung 155 durch die erste ESL 130 oder die zweite ESL mit Hilfe eines zweiten Trockenätzprozesses auf. Die erste ESL 130 oder die zweite ESL weist eine niedrige „zweite Trockenätzselektivität“ in Bezug auf die dielektrische Hartmaskenschicht 170 oder die obere dielektrische LK-Schicht 150 auf. Der vorliegend verwendete Ausdruck „zweite Trockenätzselektivität“ bezieht sich auf das Verhältnis (Trockenätzrate der dielektrischen Hartmaskenschicht 170 oder der oberen dielektrischen LK-Schicht 150) / (Trockenätzrate der ersten ESL 130 oder der zweiten ESL). Beispielsweise würde eine zweite Trockenätzselektivität von 0,1 dazu führen, dass die dielektrische Hartmaskenschicht 170 oder die obere dielektrische LK-Schicht 150 während des zweiten Trockenätzprozesses mit einer Rate entfernt werden, welche ungefähr 1/10 niedriger als diejenige ist, mit welcher die erste ESL 130 oder die zweite ESL während des zweiten Trockenätzprozesses entfernt werden: Das zweite Trockenätzratenverhältnis beträgt 1/10, was einer zweiten Trockenätzselektivität von ungefähr 0,1 gleicht. Beispielsweise weist die erste ESL 130 oder die zweite ESL eine Trockenätzselektivität in Bezug auf die dielektrische Hartmaskenschicht 170 oder die obere dielektrische LK-Schicht 150 von ungefähr 0,005 bis ungefähr 0,1 auf.
  • Die zweite Trockenätzselektivität unterscheidet sich von der zuvor genannten Trockenätzselektivität. Grundsätzlich existieren zwei mögliche Komponenten bei jedem Ansatz, um die Trockenätzselektivität oder die zweite Trockenätzselektivität anzupassen, eine chemische und eine physikalische Komponente. Die chemische Komponente umfasst die Ausnutzung des Einflusses chemisch unterschiedlicher Ionen und neutraler Spezies auf das Plasma, indem die Gaszusammensetzung oder die Betriebsparameter verändert werden, um die Selektivität anzupassen. Die physikalische Komponente umfasst die Steuerung des Beschusses des darunterliegenden Materials durch energetische Ionen. Bei manchen Ausführungsformen führt eher die physikalische Komponente als die chemische Komponente zu einer niedrigeren Trockenätzselektivität.
  • Wie in 12 gezeigt ist, weist der Schritt 540 das Ausbilden einer Metallschicht 165 in der Öffnung 155 durch die erste ESL 130 und verbunden mit dem unteren leitfähigen Element 120 auf. Die Metallschicht 165 kann mit Hilfe eines Prozesses ausgebildet werden, einschließlich, jedoch nicht begrenzt auf ALS, CVD, PVD, Sputter-Deposition, Plattierung oder Kombinationen dieser. Die Metallschicht 165 weist Cu, Al, Ag, Au oder Legierungen dieser auf. Die Metallschicht 165 kann ebenso eine oder mehrere Sperrschichten ausgewählt aus der Gruppe von W, WN, Ti, Al, TiAl, TiN, TiAlN, Ta, TaC, TaN, TaCN, TaSiN, Mn, Zr, Nb oder Ru aufweisen. Wie in 1 dargestellt ist, weist der Schritt 540 das Durchführen eines CMP auf zumindest der oberen dielektrischen LK-Schicht 150 oder der Metallschicht 165 auf, um das obere leitfähige Element 160 in der oberen dielektrischen LK-Schicht 150 auszubilden.
  • Das Verfahren 500 kann weiterhin das Ausbilden einer oberen ESL (nicht dargestellt) über der oberen dielektrischen LK-Schicht 150 und dem oberen leitfähigen Element 160 aufweisen. Die obere ESL kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, MBE, Spin-on oder Kombinationen dieser ausgebildet werden. Bei manchen Ausführungsformen weist die obere ESL entweder eine Siliziumverbindung oder eine Metallverbindung auf. Bei manchen Ausführungsformen weist die Metallverbindung eines oder mehrere metallische Elemente ausgewählt aus Ru, Ni, Co, Cr, Fe, Mn, Ti, Al, Hf, Ta, W. V, Mo, Pd oder Ag auf. Die obere ESL, welche die Metallverbindung aufweist, weist eine Dicke zwischen ungefähr ungefähr 1 nm und ungefähr 10 nm (10 bis ungefähr 100 Å) auf. Bei manchen Ausführungsformen weist die Siliziumverbindung ein Siliziumoxid, ein Siliziumnitrid, ein Siliziumcarbid, ein Siliziumborid oder eine Kombination von zweien oder mehreren dieser auf. Die obere ESL, welche die Siliziumverbindung aufweist, weist eine Dicke zwischen ungefähr ungefähr 1 nm und ungefähr 50 nm (10 und 500 Å) auf.
  • Die Verfahren der vorliegenden Offenbarung sind nicht darauf beschränkt, in planaren Bauteilen auf dem Substrat verwendet zu werden, und sie können ebenso auf nicht planare Bauteile angewendet werden, etwa einen finnenartigen Feldeffekttransistor (FinFET) oder einen Nanodrahttransistor. Ausgehend von der vorangegangenen Diskussion ist zu erkennen, dass durch die Verwendung der Verfahren der vorliegenden Offenbarung ein unteres leitfähiges Element, etwa eine Metallleitung, von einer ersten Ätzstoppschicht (ESL) und/oder einer zweiten ESL während eines Nassätzprozesses geschützt ist, wodurch vermieden wird, dass das untere leitfähige Element durch den Nassätzprozess bei der Herstellung einer Verbindungsstruktur beschädigt wird. Dadurch kann die Ausbildung von Fehlstellen in dem unteren leitfähigen Element präzise gesteuert bzw. vermieden werden.
  • Eine der weiter gefassten Ausführungsformen der vorliegenden Offenbarung umfasst eine Verbindungsstruktur. Die Verbindungsstruktur weist ein unteres leitfähiges Element in einer unteren dielektrischen Schicht mit niedrigem k-Wert (LK) auf; eine erste Ätzstoppschicht (ESL) über dem unteren leitfähigen Element, wobei die erste ESL eine Metallverbindung aufweist; eine obere dielektrische LK-Schicht über der ersten ESL; und ein oberes leitfähiges Element in der oberen dielektrischen LK-Schicht, wobei sich das obere leitfähige Element durch die erste ESL erstreckt und mit dem unteren leitfähigen Element verbunden ist.
  • Eine andere der weiter gefassten Ausführungsformen der vorliegenden Offenbarung umfasst eine Damaszenstruktur. Die Damaszenstruktur weist ein unteres leitfähiges Element in einer unteren dielektrischen Schicht mit niedrigem k-Wert (LK) auf; eine erste Ätzstoppschicht (ESL) über dem unteren leitfähigen Element, wobei die erste ESL entweder eine Siliziumverbindung oder eine Metallverbindung aufweist; eine zweite ESL über der ersten ESL, wobei die zweite ESL die jeweils andere Silizium- oder Metallverbindung aufweist; eine obere dielektrische LK-Schicht über der zweiten ESL; und ein oberes leitfähiges Element in der oberen dielektrischen LK-Schicht, wobei sich das obere leitfähige Element durch die erste ESL und die zweite ESL erstreckt und mit dem unteren leitfähigen Element verbunden ist.
  • Noch eine andere der breiteren Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren für die Ausbildung einer Verbindungsstruktur. Das Verfahren weist das Ausbilden eines unteren leitfähigen Elementes in einer unteren dielektrischen Schicht mit niedrigem k-Wert (LK) auf; das Ausbilden einer ersten Ätzstoppschicht (ESL) über dem unteren leitfähigen Element, wobei die erste ESL eine Metallverbindung aufweist; das Ausbilden einer oberen dielektrischen LK-Schicht über der ersten ESL; und das Ausbilden eines oberen leitfähigen Elementes in der oberen dielektrischen LK-Schicht durch die erste ESL hindurch und verbunden mit dem unteren leitfähigen Element.

Claims (12)

  1. Damaszenstruktur, die aufweist: ein unteres leitfähiges Element (120) in einer unteren dielektrischen Schicht (110) mit niedrigem k-Wert (LK); eine erste Ätzstoppschicht (ESL) (130, 140) über dem unteren leitfähigen Element (120), wobei die erste ESL (130, 140) entweder eine Siliziumverbindung oder eine Metallverbindung aufweist; eine zweite ESL (130, 140) über der ersten ESL (130, 140), wobei die zweite ESL (130, 140) die jeweils andere der Siliziumverbindung oder der Metallverbindung aufweist; eine obere dielektrische LK-Schicht (150) über der zweiten ESL (130, 140); und ein oberes leitfähiges Element (160) in der oberen dielektrischen LK-Schicht (150), wobei sich das obere leitfähige Element (160) durch die erste ESL (130) sowie die zweite ESL (130, 140) erstreckt und mit dem unteren leitfähigen Element (120) verbunden ist, wobei die ESL (140), welche die Siliziumverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 50 nm aufweist, und die ESL (130), welche die Metallverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 10 nm aufweist, und wobei die ESL (140), welche die Siliziumverbindung aufweist, dicker ist als die ESL (130), welche die Metallverbindung aufweist.
  2. Damaszenstruktur nach Anspruch 1, bei der die Siliziumverbindung ein Siliziumoxid, ein Siliziumnitrid, ein Siliziumcarbid, ein Siliziumborid oder eine Kombination von zweien oder mehreren dieser aufweist.
  3. Damaszenstruktur nach Anspruch 1 oder 2, bei der die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallcarbid, ein Metallborid oder eine Kombination von zweien oder mehreren dieser aufweist.
  4. Damaszenstruktur nach einem der Ansprüche 1 bis 3, bei der die Metallverbindung mindestens eines der folgenden Metallelemente aufweist: Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Chrom (Cr), Eisen (Fe), Mangan (Mn), Titan (Ti), Aluminium (Al), Hafnium (Hf), Tantal (Ta), Wolfram (W), Vanadium (V), Molybdänum (Mo), Palladium (Pd) oder Silber (Ag).
  5. Damaszenstruktur nach einem der vorangegangenen Ansprüche, bei der das untere leitfähige Element (120) eine Metallleitung ist.
  6. Damaszenstruktur nach einem der vorangehenden Ansprüche, bei der das obere leitfähige Element (160) eine Metallleitung und eine Durchkontaktierung aufweist, wobei sich die Durchkontaktierung durch die erste ESL (130, 140) und die zweite ESL (130, 140) erstreckt und mit dem unteren leitfähigen Element (120) verbunden ist.
  7. Verfahren für die Ausbildung einer Verbindungsstruktur, das aufweist: Ausbilden eines unteren leitfähigen Elementes (120) in einer unteren dielektrischen Schicht (110) mit niedrigem k-Wert (LK); Ausbilden einer ersten Ätzstoppschicht (ESL) (130) über dem unteren leitfähigen Element (120), wobei die erste ESL (130) eine Metallverbindung aufweist; Ausbilden eine zweiten ESL (140) über oder unter der ersten ESL (140), wobei die zweite ESL (140) die eine Siliziumverbindung aufweist; Ausbilden einer oberen dielektrischen LK-Schicht (150) über der ersten ESL (130) und der zweiten ESL (140); und Ausbilden eines oberen leitfähigen Elementes (160) in der oberen dielektrischen LK-Schicht (150) durch die erste ESL (130) und durch die zweite ESL (140) und verbunden mit dem unteren leitfähigen Element (120); wobei die zweite ESL (140), welche die Siliziumverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 50 nm aufweist, und die erste ESL (130), welche die Metallverbindung aufweist, eine Dicke zwischen ungefähr 1 nm und ungefähr 10 nm aufweist, wobei die zweite ESL (140), welche die Siliziumverbindung aufweist, dicker ist als die erste ESL (130), welche die Metallverbindung aufweist.
  8. Verfahren nach Anspruch 7, bei der die Siliziumverbindung ein Siliziumoxid, ein Siliziumnitrid, ein Siliziumcarbid, ein Siliziumborid oder eine Kombination von zweien oder mehreren dieser aufweist.
  9. Verfahren nach Anspruch 7 oder 8, bei der die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallcarbid, ein Metallborid oder eine Kombination von zweien oder mehreren dieser aufweist.
  10. Verfahren nach einem der Ansprüche 7 bis 9, bei der die Metallverbindung mindestens eines der folgenden Metallelemente aufweist: Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Chrom (Cr), Eisen (Fe), Mangan (Mn), Titan (Ti), Aluminium (Al), Hafnium (Hf), Tantal (Ta), Wolfram (W), Vanadium (V), Molybdänum (Mo), Palladium (Pd) oder Silber (Ag).
  11. Verfahren nach einem der Ansprüche 7 bis 10, bei der das untere leitfähige Element (120) eine Metallleitung ist.
  12. Verfahren nach einem der Ansprüche 7 bis 11, bei der das obere leitfähige Element (160) eine Metallleitung und eine Durchkontaktierung aufweist, wobei sich die Durchkontaktierung durch die erste ESL (130, 140) und die zweite ESL (130, 140) erstreckt und mit dem unteren leitfähigen Element (120) verbunden ist.
DE102013107635.1A 2013-03-14 2013-07-18 Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur Active DE102013107635B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361783922P 2013-03-14 2013-03-14
US61/783,922 2013-03-14
US13/922,051 2013-06-19
US13/922,051 US9041216B2 (en) 2013-03-14 2013-06-19 Interconnect structure and method of forming the same

Publications (2)

Publication Number Publication Date
DE102013107635A1 DE102013107635A1 (de) 2014-09-18
DE102013107635B4 true DE102013107635B4 (de) 2020-10-29

Family

ID=51418649

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013107635.1A Active DE102013107635B4 (de) 2013-03-14 2013-07-18 Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur

Country Status (1)

Country Link
DE (1) DE102013107635B4 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150138A1 (en) * 2006-12-26 2008-06-26 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
DE102008006962A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Kondensator im Metallisierungssystem und ein Verfahren zur Herstellung des Kondensators
DE102008016431A1 (de) * 2008-03-31 2009-10-08 Advanced Micro Devices, Inc., Sunnyvale Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150138A1 (en) * 2006-12-26 2008-06-26 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
DE102008006962A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Kondensator im Metallisierungssystem und ein Verfahren zur Herstellung des Kondensators
DE102008016431A1 (de) * 2008-03-31 2009-10-08 Advanced Micro Devices, Inc., Sunnyvale Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen

Also Published As

Publication number Publication date
DE102013107635A1 (de) 2014-09-18

Similar Documents

Publication Publication Date Title
DE102014119127B4 (de) Verbindungsstruktur und Verfahren zum Ausbilden derselben
DE102017104622B4 (de) Integrierter Chip und Verfahren zu seiner Herstellung
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102018108798B4 (de) Elektrodenstruktur und Verfahren zum Verbessern von RRAM-Leistung
DE102012216153B4 (de) Halbleiterbauelemente mit Kupferverbindungen und Verfahren zu deren Herstellung
DE102014111780B4 (de) Verfahren zum Ausbilden von leitenden Strukturen in Vertiefungen
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE112011103146B4 (de) Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln
DE102016114870A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102013101191B4 (de) Halbleiterstruktur aufweisend widerstandsvariable Speicherstruktur und Verfahren zur Ausbildung Dieser
DE102015117872A1 (de) Halbleiterstruktur, in die ein magnetischer Tunnelkontakt integriert ist, und Herstellungsverfahren dafür
DE102018108893A1 (de) Dielektrikum mit niedrigem k-Wert und Prozesse ihrer Herstellung
US9355894B2 (en) Interconnect structure and method of forming the same
DE102019122405B4 (de) Hohe baumartige sub-30nm-durchkontaktierungen zur reduzierung der wiederabscheidung von leitfähigem material für sub-60nm-mram-vorrichtungen
DE102014118991A1 (de) Verbindungsstruktur für Halbleitervorrichtungen
DE102021111424A1 (de) Speichervorrichtung und Verfahren zu deren Herstellung
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102013109523A1 (de) Speicherzelle, die eine widerstandsvariable schicht aufweist sowie verfahren zur herstellung dieser
DE112020004654T5 (de) Nicht-flüchtiger speicher (nvm) mit einer niedrigen bildungsspannung
DE102012105304B4 (de) Vorrichtung zur Belastungsreduzierung
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102013104368A1 (de) Verfahren für die Ausbildung einer Verbindungsstruktur
DE102018126993B4 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE102011052914A1 (de) Kondensator und Verfahren zu dessen Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021768000

Ipc: H01L0023532000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final