KR20080075198A - Cvd 반응기에서 층들을 증착하는 방법 및 cvd반응기용 가스 유입 부재 - Google Patents

Cvd 반응기에서 층들을 증착하는 방법 및 cvd반응기용 가스 유입 부재 Download PDF

Info

Publication number
KR20080075198A
KR20080075198A KR1020087015092A KR20087015092A KR20080075198A KR 20080075198 A KR20080075198 A KR 20080075198A KR 1020087015092 A KR1020087015092 A KR 1020087015092A KR 20087015092 A KR20087015092 A KR 20087015092A KR 20080075198 A KR20080075198 A KR 20080075198A
Authority
KR
South Korea
Prior art keywords
gas
inlet member
sections
gas inlet
chamber
Prior art date
Application number
KR1020087015092A
Other languages
English (en)
Inventor
요하네스 캐펠러
Original Assignee
아익스트론 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아익스트론 아게 filed Critical 아익스트론 아게
Publication of KR20080075198A publication Critical patent/KR20080075198A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 가스 유입 부재를 이용하여 2개 이상의 가스 형태로 프로세스 챔버(7) 내로 유입되는 성분들을 함유한 층을 가진 1개 이상의 기판을 코팅하기 위한 방법에 관한 것이다. 상기 가스들은 각각 적층식으로 배치된 가스 유입 부재의 챔버들(1, 2) 내로 유입되어 그곳으로부터 프로세스 챔버(7)로 통하는 가스 방출 개구들(3, 4)을 통해 상기 프로세스 챔버(7) 내로 들어간다. 본 발명의 목적은 균질 층들을 제조하기 위해 도입부에 언급한 방법 또는 장치를 개선하는 것이다. 상기 목적을 위해, 2개의 챔버가 각각 2개의 구획(1a, 1b; 2a, 2b)으로 분할되고, 상기 구획들은 각각 합동을 이루어 적층된다. 2개의 프로세스 가스가 서로 분리되어 프로세스 챔버로 유입됨에 따라, 프로세스 챔버(7)의 바닥을 형성하는 기판 홀더(9) 위에 환형으로 놓인 기판들(10)이 상기 기판 홀더(9)의 축(9')을 중심으로 한 회전에 의해 상이한 프로세스 가스에 차례로 노출된다.

Description

CVD 반응기에서 층들을 증착하는 방법 및 CVD 반응기용 가스 유입 부재{METHOD FOR DEPOSITING LAYERS IN A CVD REACTOR AND GAS INLET ELEMENT FOR A CVD REACTOR}
본 발명은 가스 유입 부재를 이용하여 2개 이상의 가스 형태로 프로세스 챔버 내로 유입되는 성분들을 함유한 층을 가진 1개 이상의 기판을 코팅하기 위한 방법에 관한 것이다. 상기 가스들은 각각 적층식으로 배치된 가스 유입 부재의 챔버들 내로 유입되어 그곳으로부터 프로세스 챔버로 통하는 가스 방출 개구들을 통해 상기 프로세스 챔버 내로 들어간다.
본 발명은 또한 CVD 반응기의 가스 유입 부재 및 적층식으로 배치된 2개 이상의 챔버를 가진 CVD 반응기와도 관련되는데, 상기 챔버의 하부 벽으로부터 각각 가스 방출 개구들이 형성되어 나오며, 상기 가스 방출 개구들은 1개의 공급관을 통해 챔버 내로 들어온 프로세스 가스를 프로세스 챔버 내로 공급하기 위해 상기 프로세스 챔버 내로 통하며, 상기 챔버의 바닥은 회전 구동이 가능한 기판 홀더로 형성된다.
US 5,871,586으로부터 다수의 프로세스 가스를 프로세스 챔버 내로 유입하는데 이용되는 샤워헤드(showerhead) 타입의 가스 유입 부재를 가진 CVD 반응기가 공 지되어 있다. 상기 가스 유입 부재의 하부면은 거의 원형의 면 위에 균일하게 분포된 다수의 가스 방출 개구를 가지며, 상기 가스 방출 개구의 입구는 가스 유입 부재 하부에 배치된 기판 홀더를 향한다. 회전 구동되는 상기 기판 홀더 위에 코팅될 다수의 기판이 회전 중심 둘레에 환형으로 분포하는 방식으로 배치된다. 기판 홀더는 적절한 가열 장치에 의해 하부로부터 가열될 수 있다. 가스 유입 부재는 적층식으로 배치된 다수의 챔버를 갖는다. 하부 챔버는 가스 유입 부재로부터 방출되는 프로세스 가스의 반응 온도보다 더 낮은 온도로 상기 가스 유입 부재의 하부면을 냉각시키기 위한 냉각제가 흐르는 냉각제 챔버를 형성한다. 상기 냉각제 챔버의 상부에 기밀된 상태로 서로 분리된 2개의 챔버가 놓이며, 상기 두 챔버는 가스 유입 부재의 회전대칭형 횡단면 전체에 걸쳐 있다. 상기 두 챔버에는 각각 상이한 프로세스 가스가 공급된다. 2개의 프로세스 가스 중 하나는 예컨대 NH3, 아르신 또는 포스핀과 같은 수소화물일 수 있다. 또 다른 프로세스 가스는 유기 금속 화합물이다. 따라서 기판들 위에 제 5주족(main group) 및 제 3 주족의 원소들 또는 제 2 주족과 제 6 주족의 원소들의 층이 증착될 수 있다. 상기 두 챔버는 각각 가스 방출 채널을 통해 프로세스 챔버의 하부면과 연결된다. 다른, 특히 유기 금속성의, 출발 물질도 사용 가능하다.
종래 기술에서는 소위 ALD 기법을 기술하고 있다. 이 기법에서는 2개의 프로세스 가스가 동시에 프로세스 챔버로 유입되지 않고 교대로 유입된다. 경우에 따라, 제 1 프로세스 가스의 유입과 제 2 프로세스의 유입 사이에 퍼지 가스(purge gas)가 프로세스 챔버 내로 유입될 수 있다. 이 기법의 목적은, 기판 위에 교대로 성분들 중 하나, 예컨대 III족 성분 또는 II족 성분의 단층(monolayer)을 증착시킨 다음 V족 성분 또는 VI족 성분의 단층을 증착시키는 것이다. 이 기법을 사용하여 절대적으로 균일한 층 두께가 달성된다.
DE 100 43 601 A1으로부터 기판 위에 III-V족 층들을 증착하기 위한 CVD 반응기가 공지되어 있다. 여기서는 수평면에 놓인 프로세스 챔버 내로 가스 유입 부재가 돌출한다. 상기 가스 유입 부재의 냉각된 바디가 프로세스 챔버의 중심부로 돌출하고, 상기 프로세스 챔버의 바닥이 기판 홀더를 형성한다. 가스 유입 부재의 하부면으로부터 예컨대 아르신 또는 포스핀과 같은 수소화물이 프로세스 챔버 내로 흐른다. 거기서 수평 방향으로 선회하여 기판에 대해 반경방향으로 흐른다. 상기 방출 개구의 상부에는 가스 유입 부재의 전체 둘레에 걸쳐 뻗은 또 다른 가스 방출 개구가 제공되고, 상기 방출 개구를 통해 TMG와 같은 유기금속 화합물이 프로세스 챔버 내로 유입된다.
US 2005/0158469 A1은 가스 유입 부재의 챔버들이 빗모양(comb-shaped)으로 배열된 채널들로 형성된 샤워헤드타입 반응기를 기술하고 있다.
JP03170675A는 적층식으로 배치된 2개의 챔버를 가진 가스 유입 부재를 기술하고 있으며, 상기 챔버들은 각각 다수의 방출 개구를 통해 프로세스 챔버를 향하는 벽과 연결된다. 각 방출 개구는 개별적으로 폐쇄될 수 있다.
US 5,950,925에 기술된 가스 유입 부재의 경우, 공간적으로 균일한 가스 분포를 보장하기 위해 반경방향으로 뻗은 가스 분배 채널들이 제공된다.
US 6,800,139로부터는, 한 평면 내에 다수의 챔버가 중심부로부터 반경방향으로 상이한 간격을 두고 배치됨에 따라 가스 방출면의 중심 영역을 통해 가스 방출면의 주변 영역을 통과하는 가스와 다른 가스 조성물이 프로세스 챔버 내로 유입될 수 있는 가스 유입 부재가 공지되어 있다.
US 4,976,996으로부터는, 프로세스 가스가 프로세스 챔버의 주변 벽으로부터 프로세스 챔버 내로 유입되는 CVD 반응기가 공지되어 있다. 프로세스 가스는 프로세스 챔버를 반경방향 외측으로부터 중심부를 향해 수평 방향으로 관류한다. 프로세스 챔버의 여러 섹터들로부터 상이한 가스가 유입될 수 있다. 기판 홀더가 회전할 수 있기 때문에, 기판 홀더 상에 배치된 기판들이 연이어 상이한 가스상(gas phase)에 노출될 수 있다. 노출 시간은 반응 속도에 의해 조절될 수 있다.
본 발명의 과제는 도입부에 언급한 방법 및 장치를 균질의 층들을 제조하도록 개선하는 것이다.
상기 과제는 청구항들에 기술된 본 발명에 의해 해결되며, 청구항들 각각이 기본적으로 상기 과제의 독자적 해결책이 된다.
방법 청구항은 무엇보다 먼저, 가스 유입 부재의 특수한 구성으로 인해 그리고 챔버들이 여러 구획으로 적절히 분할됨으로써 상이한 프로세스 가스가 챔버 주변에 분산되어 프로세스 챔버 내로 공급될 수 있도록 한다. 프로세스 챔버의 여러 주변 섹션들로 상이한 프로세스 가스들이 서로 따로따로 유입된다. 따라서 가스 유입 부재의 하부에서 원형 레일 위를 회전하는 기판들이 교대로 상이한 프로세스 가스에 노출됨에 따라 프로세스 챔버 내에서 주기적인 가스 교환을 실시하지 않아도 일종의 ALD 기법이 가능해진다. 특히 프로세스 가스가 공급되는 주변 영역들 사이에 퍼지 구역들이 제공됨으로써 상기 퍼지 구역들에서 불활성 가스 또는 다른 퍼지 가스가 프로세스 챔버 내로 유입되는 것이 바람직하다. 가스 유입 부재의 중심부를 통해 퍼지 가스 또는 불활성 가스가 프로세스 챔버 내로 유입되는 것도 바람직한 것으로 밝혀졌다. 퍼지 가스의 가스 흐름은 각 프로세스 가스가 뒤섞이는 것을 방지하기에 충분한 정도로 조절된다. 그러나 상기 장치는 각 챔버의 모든 섹션에 동일한 프로세스 가스가 공급되는 경우 범용 기법도 구현할 수 있다. 이 경우, 가스 유입 부재의 하부면으로부터 모든 지점에서 2개의 프로세스 가스가 배출된다. 그러면 상이한 프로세스 가스가 프로세스 챔버 내로 유입되는 구역이 없어지고, 오히려 반응물들 사이에 가스상 반응이 일어날 수 있다.
가스 유입 부재와 관련된 청구항이 가장 강조하는 점은, 2개의 챔버가 각각 2개의 섹션으로 분할되고, 상기 챔버의 섹션들은 합동되는 형태로 적층된다는 것이다. 여기서도 역시 섹션들 사이의 분리 구역의 영역에서 가스 유입 부재의 하부면에 퍼지 가스 방출 개구들이 제공될 수 있다. 상기 섹션들로 퍼지 가스가 유입되도록 하기 위해서는 빗모양으로 다수의 개구가 반경방향으로 퍼지 가스 공급관과 연결되면 된다. 프로세스 챔버로 퍼지 가스를 공급하기 위해 필요에 따라 이러한 별도의 개구들이 이용됨으로써, 각각 상이한 프로세스 가스가 프로세스 챔버 내로 유입되는 인접 구역들이 가스 커튼(gas curtain)과 분리된다. 또는, 2개의 챔버 중 하나의 섹션 또는 2개의 챔버의 적층 배치된 2개의 섹션이 프로세스 챔버 내로의 퍼지 가스 유입에 사용될 수도 있다. 본 발명의 제 1 실시예에서는, 각 챔버가 2개의 섹션으로 분할된다. 이 경우, 상기 섹션들을 분리하는 각 챔버의 분리벽은 바람직하게 원판형 가스 유입 부재를 지나는 대각선 상에 놓인다. 분리벽들은 서로 적층된다. 또는, 정렬되어 적층된 분리벽들을 각각 십자 형태로 또는 별 형태로 배열하는 것도 가능하다. 섹션들은 상이한 원주각(inscribed angle)에 걸쳐서 형성될 수 있다. 상대적으로 더 작은 섹션 및 더 큰 섹션이 제공될 수 있다. 바람직하게는 더 큰 원주각을 가진 섹션이 프로세스 챔버 내로 프로세스 가스를 공급하는데 사용된다. 프로세스 챔버의 중심 주변에 또는 중심 섹션 주변에 작은 원주각에 걸쳐 형성된 더 작은 섹션들은 프로세스 챔버 내로 퍼지 가스를 공급하는데 사용된다. 기판 홀더의 회전 속도는, 기판이 프로세스 챔버 내로 프로세스 가스가 유입되는 통로로 사용되는 섹션의 주변 영역을 지나갈때 상기 기판 표면 위에 정확히 1개 단층의 성분이 증착되는 방식으로 프로세스에 매칭된다. 상기 방법은 특히, 본래 기판 표면에 단 한겹으로만 응축되는, 즉 자기제한(self-limiting) 방식으로 성장하는 프로세스 가스를 사용하는 경우에 적합하다. 기판 홀더가 회전함에 따라 기판 위에 상이한 성분들이 교대로 증착된다. 프로세스 가스가 프로세스 챔버 내로 유입되는 통로로 사용되는 각 섹션들이 퍼지 구역들과 분리된 경우, 반응물들은 층 또는 결정을 형성하기 위해 서로 반응하는데 충분한 시간을 갖는다.
앞서 샤워헤드 타입으로 설계된 가스 유입 부재와 관련하여 기술한 컨셉은 DE 100 43 601 A1 또는 DE 101 53 463 A1에 기술된 것과 같은 가스 유입 부재에서도 구현될 수 있다. 상기 문서들에서도 적층식으로 배치된 챔버들이 제공된다. 이 챔버들은 물론 프로세스 챔버의 천장과 바닥 사이에 놓인다. 가스 유입 부재의 2개의 챔버는 수직 방향으로 뻗은 가스 공급관들에 의해 프로세스 가스를 공급받는다. 이 프로세스 가스는 개별 챔버들에 각각 할당된 섹션들 내로 유입되어 가스 유입 부재로부터 수평 방향으로, 그러나 상이한 원주 방향으로 방출된다. 적층식으로 배치된 반원형 배출 개구들이 제공된다. 물론 섹션의 개수에 따라 사분원 또는 삼분원 형태의 배출 개구가 제공될 수도 있다. 또한, 서로 상이한 프로세스 가스를 위한 배출 개구들이 퍼지 가스가 방출되는 구역에 의해서도 분리(구별)될 수 있다. 배출 개구들로부터 배출되는 프로세스 가스는 반경방향으로 프로세스 챔버를 통해 그리고 가스 유입 부재 주변에 그룹을 이루어 배치된 기판에 걸쳐 흐른다. 기상 반응(gas phase reaction) 또는 표면 반응에 의해 결정형성 반응 산물이 생성된다.
챔버들의 각 섹션에 각 챔버에 지정된 프로세스 가스를 공급하는 가스 혼합 장치는 제 1 프로세스 가스를 위한 제 1 가스 계량(gas metering) 장치들 및 제 2 프로세스 가스를 위한 제 2 가스 계량 장치들을 구비한다. 제 1 프로세스 가스는 전술한 금속 수소화물 중 하나일 수 있다. 제 2 프로세스 가스는 유기금속 화합물일 수 있다. 상기 가스 혼합 장치는 전환 밸브 장치를 갖는다. 상기 전환 밸브 장치를 이용하여 각 챔버의 모든 섹션들에 상기 챔버에 각각 지정된 프로세스 가스가 공급될 수 있다. 예컨대, 상부 챔버의 모든 섹션들에는 수소화물을 공급하고, 하부 챔버의 모든 섹션들에는 유기금속 화합물을 공급하는 것이 가능하다. 수소화물 및 유기금속 화합물은 일반적으로 예컨대 수소나 질소와 같은 운반 가스 또는 불활성 가스에 의해 각 챔버 내로 공급된다. 그러나 전환 밸브 장치로 인해, 각 챔버의 선택된 섹션에만 상기 챔버에 지정된 프로세스 가스를 공급하는 것도 가능하다. 각각의 프로세스 가스가 공급된 상기 섹션들은 적층식으로 놓여 있지 않고, 주변에 서로 분산되어 있다. 프로세스 가스가 공급되지 않은 나머지 섹션들에는 퍼지 가스가 공급된다. 이때 상기 퍼지 가스는 각각의 성분과 함께 가스 유입 부재의 하부면으로부터 프로세스 챔버 내로 유입된다. 그럼으로써 원주 방향으로 서로 교대되는 구역들이 형성되고, 상기 구역들에서 프로세스 챔버 내로 상이한 프로세스 가스가 유입되거나, 경우에 따라 퍼지 가스만이 유입된다.
도 1은 챔버(1)의 명시된 섹션들(1a, 1b)을 가진, 축척에 맞지 않게 재현된 가스 유입 부재의 평면도로서, 상기 섹션들은 채널들(3)에 의해 상기 가스 유입 부재와 연결되어 있다.
도 2는 도 1의 라인(II-II)을 따라 잘라낸, 축척에 맞지 않는 단면도이다.
도 3은 도 2의 라인(III-III)을 따라 잘라낸 단면도이다.
도 4는 도 1 및 도 2에 개략적으로 도시된 가스 유입 부재의 챔버들(1, 2)의 섹션들(1a, 1b, 2a, 2b)에 가스를 공급하기 위한 가스 혼합 장치의 간단한 일례이다.
도 5는 제 2 실시예의 가스 유입 부재의 상부 챔버(1)의, 축척에 맞지 않는 횡단면도이다.
도 6은 도 5의 라인(VI-VI)을 따라 잘라낸 단면도이다.
도 7은 본 발명의 또 다른 한 실시예의 프로세스 챔버의 횡단면도이다.
도 8은 도 7의 라인(VIII-VIII)을 따라 잘라낸 단면도이다.
도 9는 도 7에 상응하는, 또 다른 한 실시예의 도면이다.
도 10은 도 9의 라인(X-X)을 따라 잘라낸 단면도이다.
도 11은 도 9 및 도 10에 도시된 실시예에 따른 가스 유입 부재의 측단면도이다.
도 12는 도 12의 라인(XII-XII)을 따라 잘라낸 단면도이다.
내부에 가스 유입 부재가 배치된 CVD 반응기는 가스 혼합 시스템을 갖는다. 이러한 유형의 가스 혼합 시스템의 일부 구성 부품(본 발명을 설명하는데 필요한 부품들)이 도 4에 도시되어 있다. H1과 H2는 수소화물(예: NH3, ASH3 또는 PH3)을 위한 가스 계량 장치들이다. 상기 가스 계량 장치들(H1, H2)은 질량흐름 제어기와 밸브들로 구성된다. 가스 계량 장치 H1을 통해 가스 유입 부재의 챔버(2)의 한 섹션(2b)에 수소화물이 공급된다. 가스 계량 장치 H2에 의해서 공급된 수소화물은 전환 밸브(16)가 적절한 위치에 놓일때 챔버(2)의 제 2 섹션(2a)으로 유입될 수 있고, 그 결과 전체 챔버(2)에 수소화물이 공급된다. "MO1"은 챔버(1)의 한 섹션(1b)에 유기금속 성분을 공급하는 가스 계량 장치를 나타낸다. "MO2"는 전환 밸브(17)가 적절한 위치에 놓이면 챔버(1)의 제 2 섹션(1a)에 유기금속 성분을 공급 하는 제 2 가스 계량 장치이다. 이러한 구동 방식에서는 가스 유입 부재의 하부면으로부터 거의 모든 지점에서 2가지 프로세스 가스가 모두 방출된다. 더 나은 이해를 돕기 위해 일반적으로 필요한 환기관들은 도시하지 않았다.
전환 밸브(16, 17)가 스위치-오버되면, 평상시와 같이 하부 챔버의 섹션(2a) 내로 수소화물이 유입되는 한편, 그 옆에 놓인 섹션(2b)으로는 가스 계량 장치(PH)가 제공하는 퍼지 가스가 유입된다. 섹션(2a)의 상부에 배치된 섹션(1a)로는 전환 밸브(17)가 적절한 위치에 놓이면 가스 계량 장치(PMO)에 의해 제공되는 퍼지 가스가 유입되는 한편, 상기 섹션(2a)에 대각선 방향으로 놓인 섹션(1b)으로는 유기금속 성분들이 유입된다. 그 결과, "b"로 지정된 섹션들에 할당된 가스 유입 부재 하부의 구역들로는 유기금속 성분이 유입되고, "a"로 지정된 섹션들에 할당된 주변 구역으로는 수소화물이 유입된다.
각 챔버에 2개보다 많은 섹션이 존재하는 구성을 위한 가스 혼합 시스템은 그에 상응하게 부피가 더 커질 것으로 보인다. 가스 혼합 시스템이 더 많은 전환 밸브(16, 17)를 가질수록, 더 많은 가스 계량 장치가 제공된다.
도 1 및 도 2에 도시된 가스 유입 시스템은 천장 플레이트, 2개의 중간 플레이트(5, 6) 및 바닥 플레이트(8)를 가진 강철 하우징으로 형성된다. 적층식으로 놓인 챔버들(1, 2)이 형성되는데, 챔버 1은 2개의 섹션(1a 및 1b)으로 형성된다. 상기 섹션들(1a, 1b)은 각각 반원형 횡단면을 가지며, 분리벽(13)에 의해 기밀 방식으로 서로 분리된다. 섹션 1a로는 공급관 11a가 통하고, 섹션 1b로는 공급관 11b가 통한다.
챔버(1)의 바닥 플레이트(5)는 다수의 작은 관을 통해 가스 유입 부재의 하부면을 형성하는 바닥 플레이트(8)와 연결된다. 이 작은 관들은, 챔버(1) 내에 제공되는 프로세스 가스 또는 퍼지 가스가 가스 유입 부재의 하부에 설치된 프로세스 챔버(7)내로 흐를 수 있도록 하는 가스 방출 채널들(3)을 형성한다.
상부 챔버(1)의 하부 벽(5) 아래에는 제 2 챔버(2)가 존재한다. 제 2 챔버(2)는 하부 벽(6)에서 시작되는 소형 관들에 의해 가스 유입 부재의 바닥판에 또한 연결되어서, 가스 방출 채널(4)이 형성되며, 이 가스 방출 채널을 통해 챔버(2) 내부로 도입되는 프로세스 가스 또는 퍼지 가스가 프로세스 챔버(7) 내부로 유동할 수 있다.
프로세스 챔버(2)는 챔버를 횡단하여 뻗은 분리벽(14)에 의해 2개의 섹션(2a, 2b)으로 나뉜다. 각각의 섹션(2a, 2b)에는 개별 가스 공급관(12a, 12b)이 할당되고, 상기 가스 공급관들을 통해 프로세스 가스 또는 퍼지 가스가 챔버(2a, 2b) 내로 유입될 수 있다.
상기 두 챔버(1, 2)의 하부에는 분할되지 않은 제 3 챔버(15)가 존재한다. 가스 방출 채널(3, 4)을 형성하는 모든 소형 관들이 상기 챔버(15)를 관통한다. 상기 챔버(15)를 냉각제가 관류하며, 상기 냉각제는 가스 유입 부재의 바닥판(8) 및 소형 관들을 냉각한다.
가스 유입 부재의 하부면(8) 밑에는 예컨대 흑연으로 제조된 기판 홀더(9)가 배치된다. 이 기판 홀더(9)는 가스 유입 부재 하부에 합동되도록 놓이며, 마찬가지로 원판 형태를 갖는다. 상기 기판 홀더(9)는 도시되지 않은 구동 부재를 이용 하여 자신의 축(9')을 중심으로 회전할 수 있다. 그러면 기판 홀더(9) 위에 환형으로 배치된 기판들(10)이 가스 유입 부재의 가스 방출면 아래에서 회전하게 된다.
예를 들어 섹션 2a에 수소화물이 공급되고, 그 옆에 놓인 섹션 2b에 퍼지 가스가 공급되며, 상기 섹션 2a의 상부에 대각선 방향으로 배치된 섹션(1b)에 유기금속 화합물이 그리고 그 옆에 놓인 섹션 1a에 다시 퍼지 가스가 공급되면, 가스 유입 부재의 가스 방출면으로부터 상이한 구역들에서 상이한 프로세스 가스가 프로세스 챔버(7) 내로 유입된다. 섹션 2a의 하부에서 대략 180°에 걸쳐서 펼쳐진 주변 구역 내에서 수소화물이 프로세스 챔버 내로 유입된다. 그 옆에 놓인, 마찬가지로 약 180°에 걸쳐서 펼쳐진 주변 구역에서는 유기금속 성분들이 프로세스 챔버 내로 유입된다. 상기 두 구역 사이에는 도시되지 않은 퍼지 유입 채널들을 통해 퍼지 가스가 유입될 수 있다. 반응기의 이러한 구동 방식에서 기판 홀더(9)가 회전하면, 상기 기판 홀더 위에 놓인 기판들(10)이 시간에 따라 교대로 하나의 프로세스 가스 또는 다른 프로세스 가스에 노출된다.
도 5 및 도 6에 도시된 가스 유입 부재의 실시예에서는 각각의 챔버(1, 2)가 총 9개의 섹션(1a 내지 1i; 2a 내지 2i)을 갖는다.
이 실시예에서도 개별 섹션들(1a 내지 1i; 2a 내지 2i)을 분리하는 분리벽들(13a 내지 13i와 14a 내지 14i)이 서로 적층식으로 정렬되어 배치된다.
적층식으로 놓인 2개의 챔버(1, 2) 각각은 중앙 섹션(1i, 2i)을 갖는다. 중앙 섹션(1i, 2i)은 환형 벽(13a)으로 둘러싸여 있다. 상기 중앙 섹션(1i, 2i)에는 챔버(1, 2)에 지정된 프로세스 가스 또는 퍼지 가스가 공급될 수 있고, 그 결과 프로세스 챔버(7) 내에 프로세스 가스가 없는 깨끗이 정화된 중앙 공간이 형성된다.
상기 중앙 섹션(1i, 2i)은 바퀴살(spoke) 형태로 뻗은 다수의 분리벽(13b 내지 13i; 23b 내지 23i)로 둘러싸여 있다. 이 분리벽들(13b 내지 13i; 23b 내지 23i)은 챔버를 가로질러 뻗어 있다. 이 분리벽들에 의해 원주방향으로 연달아 배치된 섹션들(1a 내지 1h; 2a 내지 2h)이 형성된다. 이때, 상대적으로 더 큰 원주각에 걸쳐서 펼쳐진 섹션들(1a, 1b, 1c, 1d)이 형성된다. 챔버 2는 그에 상응하게 챔버 1의 섹션들과 합동을 이루어 놓인 챔버들(2a 내지 2d)을 형성한다. 이처럼 십자형으로 배열된 섹션들(1a 내지 1d; 2a 내지 2d)을 통해 프로세스 가스가 프로세스 챔버(7) 내로 유입될 수 있다. 이 역시 교대로 실시되기 때문에, 예컨대 서로 맞은편에 놓인 섹션들(1a 및 1c)에 유기금속 화합물이 공급될 수 있다. 그에 반해, 상기 섹션들에 대해 90°만큼 변위 배치된 섹션들(1b 및 1d)에는 퍼지 가스가 공급된다. 챔버 2의 경우에는 섹션 2a 및 2c에 퍼지 가스가 공급된다. 정화된 섹션들(1b 및 1d) 밑에 놓인, 하부 챔버의 섹션들(2b 및 2d)에는 수소화물이 공급된다.
더 큰 원주각에 걸쳐서 펼쳐진 섹션들(1a 내지 1d; 2a 내지 2d) 사이에는 퍼지 가스 섹션(1e 내지 1h; 2e 내지 2h)이 배치된다. 이 섹션들(1e 내지 1h; 2e 내지 2h)에는 각각의 챔버(1, 2)에 지정된 프로세스 가스 또는 퍼지 가스가 선택적으로 공급될 수 있다.
도 5와 도 6에 도시된 가스 유입 부재를 사용하면, 섹션들로의 공급시 모든 프로세스 가스가 혼합되어 프로세스 챔버(7)로 유입될 수 있다. 그러나 가스 혼합 시스템의 스위칭 상태가 이와 상이할 경우에는 섹션들 중 선택된 단 1개의 섹션에만 프로세스 가스가 공급되고 선택되지 않은 섹션들에는 퍼지 가스가 공급됨에 따라, 프로세스 챔버(7) 내에 기판이 수소화물 또는 유기금속 화합물에 노출되는 주변 영역들이 형성된다.
도 7과 도 8에 도시된 또 다른 실시예에서는 가스 유입 부재가 프로세스 챔버(7)의 중앙에 놓이는 소위 플래너터리 반응기(planetary reactor)가 다루어진다. 프로세스 챔버는 중앙 개구를 가진 프로세스 챔버 커버(19)를 포함하며, 상기 중앙 개구를 통해 특히 수냉 가스 유입 부재가 프로세스 챔버 내부로 돌출한다. 가스 유입 부재 및 프로세스 챔버 커버(19)의 하부에는, 중심축(9')을 중심으로 회전 구동되는 기판 홀더로 형성된 프로세스 챔버의 바닥(9)이 놓인다. 가스 유입 부재를 둘러싸는 기판 홀더(9)의 영역 위에 다수의 기판이 놓인다. 상기 기판들은 다시 개별 서셉터(susceptor) 상에 놓이며, 상기 서셉터들은 적절한 수단에 의해 회전 구동된다. 가스 유입 부재로부터 방출되는 가스는 프로세스 챔버(7)를 반경방향으로 관류한다.
도면들에는 가스 유입 부재가 개략적으로만 표현되어 있다. 중요한 것은, 예컨대 수소화물이나 유기금속 화합물과 같은 상이한 프로세스 가스가 가스 공급관을 통해 가스 유입 부재를 수직방향으로 관류한다는 사실이다. 이를 위해 가스 유입 부재는, 내부에 지름이 더 작은 관(16)이 놓여있는 외부 관(17)을 갖는다. 그렇게 하여 형성된 루멘(lumen)은 각각 (챔버를) 가로질러 뻗어 있는 분리벽들(13, 14)에 의해 공급관들(11a, 11b; 12a, 12b)로 분리된다. 외측 공급관들(11a, 11b)을 통해 유기금속 화합물이 제 1 챔버(1a, 1b) 내로 흐른다. 상기 챔버는, 반원에 걸쳐 펼쳐져 있으며 공통 수평면 내에 놓인 2개의 섹션(1a, 1b)을 형성한다. 상기 섹션들은 공급관(11a, 11b)의 말단 구역들을 형성한다.
상기 내부 관(17)은 역시 반원 구역에 걸쳐 펼쳐지며 공통 수평면 내에 놓이는 섹션들(2a, 2b)을 형성하며, 상기 섹션들은 공급관들(12a, 12b)의 말단부를 형성한다.
도 7의 횡단면도에서 볼 수 있듯이, 섹션 1a는 섹션 2a의 상부에 수직으로 놓인다. 섹션 1b는 섹션 2b의 상부에 수직으로 놓인다. 중앙의 관(17)은 프로세스 챔버(7) 내부로 돌출하는 단부까지 원추형으로 확장되고, 섹션들(1a, 2a; 1b, 2b) 사이의 분리벽을 형성한다.
섹션 1a 및 1b는 180°의 원주면에 걸쳐 형성되어 있는 방출 개구(3)를 갖는다. 서로 반대편을 향하는 상기 방출 개구들(3)은 운반 가스에 의해 운반된 유기금속 프로세스 가스를 프로세스 챔버(7) 내로 방출하는데 사용된다. 그 아래에 놓인, 역시 180°의 원주면에 걸쳐 형성되어 있는, 섹션 2a 및 2b의 방출 개구들(4)을 통해서는 수소화물이 프로세스 챔버 내로 유입된다.
상기 실시예의 구동은 전술한 실시예들의 구동에 상응한다. 상기 섹션들(2a, 2b; 1a, 1b) 내로 각각 동일한 프로세스 가스가 유입될 수 있다. 그 대안으로 섹션 1a 및 2b에만 프로세스 가스가 유입될 수 있다. 이 경우, 섹션 1b와 2a에는 불활성 가스만 유입된다.
기판 홀더(9)가 자신의 축(9')을 중심으로 회전하면, 그 위에 놓은 기판들이 한 프로세스 가스를 함유한 가스상으로 또는 다른 프로세스 가스를 함유한 가스상으로 교대로 진입한다.
도 9 내지 도 12에 도시된 실시예는 도 7과 도 8에 도시된 실시예의 변형이다. 여기서도 프로세스 가스는 섹션들(1a, 1b, 2a, 2b)로부터 수평방향으로 방출된다. 전술한 실시예의 경우와 다른 점은, 여기서는 각 섹션(1a, 1b; 2a; 2b)의 방출 개구들(3, 4)이 퍼지 가스 방출 개구(20)와 분리된다는 점이다. 퍼지 가스는 중앙의 관(18)을 통해 유입된다. 상기 퍼지 가스 관(18)은 분리벽(14)의 중앙에 배치된다. 가스 유입 부재의 말단부에서 퍼지 가스 관(18)이 확장됨에 따라 퍼지 가스가 정반대편의 방출 개구들(20)로부터 프로세스 챔버 내로 유입될 수 있다.
도시되지 않은 한 실시예에서는, 도입부에서 언급한 종래 기술의 경우와 같이, 가스 유입 부재의 단부면으로 연결되는 중앙 프로세스 가스관이 추가로 제공될 수 있다. 상기 중앙 가스 관을 통해, 종래 기술의 경우처럼, 예컨대 수소화물이 프로세스 챔버 내로 전달될 수 있다. 다양한 원주각에 걸쳐 형성되어 있는 섹션들을 통해 상이한 유기금속 화합물들이 프로세스 챔버 내로 유입될 수 있다. 즉, 예컨대 인듐을 함유한 유기금속 화합물 또는 갈륨을 함유한 유기금속 화합물이 프로세스 챔버의 상이한 섹션들로 유입될 수 있다. 그러나 이 경우 수소화물은 모든 방향으로, 즉 360°에 걸쳐서 프로세스 챔버 내로 유입된다.
공개된 모든 특징은 (그 자체로) 본 발명에 중요한 요소들이다. 본 출원서의 공개 내용에는, 관련된/첨부된 우선권 서류(선출원 사본)의 공개 내용도, 상기 서류의 특징들을 본 출원서의 청구항들에 포함시키기 위한 목적으로도, 전부 통합되어 있다.

Claims (16)

  1. 가스 유입 부재를 이용하여 2개 이상의 가스 형태로 프로세스 챔버(7) 내로 유입되는 성분들을 함유한 층을 가진 1개 이상의 기판을 코팅하기 위한 방법으로서,
    상기 가스들은 각각 적층식으로 배치된 가스 유입 부재의 챔버들(1, 2) 내로 유입되어 그곳으로부터 프로세스 챔버(7)로 통하는 가스 방출 개구들(3, 4)을 통해 상기 프로세스 챔버(7) 내로 들어가며,
    상기 2개의 챔버가 각각 2개의 구획(1a, 1b; 2a, 2b)으로 분할되고, 상기 구획들은 각각 합동을 이루어 적층되며, 상기 프로세스 챔버로 2개의 프로세스 가스가 서로 분리되어 유입됨에 따라, 상기 프로세스 챔버(7)의 바닥을 형성하는 기판 홀더(9) 위에 환형으로 놓인 기판들(10)이 상기 기판 홀더(9)의 축(9')을 중심으로 한 회전에 의해 상이한 프로세스 가스에 차례로 노출되는 것을 특징으로 하는,
    기판 코팅 방법.
  2. 제 1항에 있어서,
    비적층식으로 배치된 섹션들(1a, 2b)에만 각각 프로세스 가스가 공급되고, 다른 섹션들(1b, 2a)에는 퍼지 가스(purge gas)가 공급되는 것을 특징으로 하는,
    기판 코팅 방법.
  3. 제 1항 또는 제 2항 중 하나 이상의 항에 있어서,
    상기 가스 유입 부재의 하부면으로부터 퍼지 가스가 방출되도록 하기 위해, 프로세스 가스가 공급되는 섹션들(1a, 2b, 1c, 2d) 사이에 원주 방향으로 퍼지 가스가 공급되는 섹션들(1e, 1f, 1g, 1h)이 배치되는 것을 특징으로 하는,
    기판 코팅 방법.
  4. 적층식으로 배치된 2개 이상의 챔버(1, 2)를 가진 CVD 반응기의 가스 유입 부재로서, 상기 챔버들의 하부 벽(5, 6)으로부터 각각 가스 방출 개구들(3, 4)이 형성되어 나오고, 상기 가스 방출 개구들은 공급관(11, 12)을 통해 챔버들(1, 2)로 유입된 각각의 프로세스 가스를 프로세스 챔버(7)로 공급하기 위해 상기 프로세스 챔버(7)로 연결되며, 상기 프로세스 챔버의 바닥은 회전 구동이 가능한 기판 홀더(9)로 형성되고,
    상기 2개 이상의 챔버(1, 2)는 2개 이상의 섹션(1a, 1b; 2a, 2b)로 분할되고, 상기 상이한 챔버(1, 2)의 섹션들(1a, 1b; 2a, 2b)은 합동을 이루며 적층되며, 각각 할당된 공급관들(11a, 11b; 12a, 12b)을 갖는 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  5. 제 1항 내지 제 4항 중 하나 이상의 항에 있어서,
    상기 섹션들(1a, 1b; 2a, 2b) 사이의 분리 구역 내에 배치된 퍼지 가스 방출 개구들을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  6. 제 1항 내지 제 5항 중 하나 이상의 항에 있어서,
    상기 기판들(10)이 회전 대칭형 기판 홀더(9)의 중앙부(9') 주변에 환형으로 배치된 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  7. 제 1항 내지 제 6항 중 하나 이상의 항에 있어서,
    상기 챔버들(1, 2)이 별 형태로 또는 십자 형태로 다수의 섹션들(1a~1i; 2a~2i)로 분할된 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  8. 제 1항 내지 제 7항 중 하나 이상의 항에 있어서,
    프로세스 가스 또는 퍼지 가스를 선택적으로 유입하기 위한 중앙 섹션(1i, 2i)을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  9. 제 1항 내지 제 8항 중 하나 이상의 항에 있어서,
    상기 프로세스 챔버(7) 내로 퍼지 가스 또는 프로세스 가스를 공급하기 위해 원주 방향으로 분산 배치된 다수의 섹션(1a~1h; 2a~2h)을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  10. 제 1항 내지 제 9항 중 하나 이상의 항에 있어서,
    상기 섹션들(1a~1i; 2a~2i)을 서로 기밀 방식으로 분리하는 분리벽들(13, 14)이 서로 정렬된 형태로 배치된 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  11. 제 1항 내지 제 10항 중 하나 이상의 항에 있어서,
    상기 챔버들(1, 2)의 하부에 냉각제 챔버(15)가 배치되고, 상기 냉각제 챔버 의 바닥(8)이 가스 유입 부재의 하부면을 형성하는 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  12. 제 1항 내지 제 11항 중 하나 이상의 항에 있어서,
    상기 가스 방출 개구들(3, 4)은 프로세스 챔버(7)의 천장을 형성하는 가스 유입 부재의 하부면(8)으로 통하는 채널들인 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  13. 제 1항 내지 제 12항 중 하나 이상의 항에 있어서,
    상기 가스 방출 개구들(3, 4)은 프로세스 챔버(7) 내로 돌출하는 가스 유입 부재의 적층식으로 배치된 주변 개구들인 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  14. 제 1항 내지 제 13항 중 하나 이상의 항에 있어서,
    상기 상기 섹션들(1a, 1b, 2a, 2b)의 가스 방출 개구들(3, 4) 사이에 퍼지 가스 관(18)의 방출 개구가 배치된 것을 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  15. 제 1항 내지 제 14항 중 하나 이상의 항에 있어서,
    상기 제 1 프로세스 가스를 위한 제 1 가스 계량(gas metering) 장치들(H1, H2) 및 제 2 프로세스 가스를 위한 제 2 가스 계량 장치들(MO1, MO2) 그리고 각 챔버(1, 2)의 모든 섹션(1a~1i; 2a~2i)에 동일한 프로세스 가스를 공급하거나 비적층 섹션들(1a~1i; 2a~2i) 중 1개의 선택된 섹션에만 지정 프로세스 가스를 공급하는 전환 밸브 장치(16, 17)를 특징으로 하는,
    CVD 반응기의 가스 유입 부재.
  16. 제 1항 내지 제 15항 중 하나 이상의 항에 따른 가스 유입 부재, 선택적으로 각 챔버(1, 2)의 모든 섹션(1a~1i; 2a~2i)에 동일한 프로세스 가스를 공급하거나 비적층 섹션들(1a~1i; 2a~2i) 중 1개의 선택된 섹션에만 각각의 챔버에 지정된 프로세스 가스를 공급하기 위한 가스 혼합 장치 및 상기 가스 유입 부재의 하부에 배치되어 중심축을 중심으로 회전할 수 있는 기판 홀더(9)를 구비한 CVD 반응기.
KR1020087015092A 2005-11-22 2006-11-21 Cvd 반응기에서 층들을 증착하는 방법 및 cvd반응기용 가스 유입 부재 KR20080075198A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102005055468A DE102005055468A1 (de) 2005-11-22 2005-11-22 Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE102005055468.7 2005-11-22

Publications (1)

Publication Number Publication Date
KR20080075198A true KR20080075198A (ko) 2008-08-14

Family

ID=37728376

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087015092A KR20080075198A (ko) 2005-11-22 2006-11-21 Cvd 반응기에서 층들을 증착하는 방법 및 cvd반응기용 가스 유입 부재

Country Status (9)

Country Link
US (1) US20100003405A1 (ko)
EP (1) EP1951931B1 (ko)
JP (1) JP5137843B2 (ko)
KR (1) KR20080075198A (ko)
CN (1) CN101313086B (ko)
AT (1) ATE493521T1 (ko)
DE (2) DE102005055468A1 (ko)
TW (1) TW200728495A (ko)
WO (1) WO2007060159A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140061401A (ko) * 2011-07-12 2014-05-21 아익스트론 에스이 Cvd 반응기의 가스 입구 부재
KR101481540B1 (ko) * 2012-10-11 2015-01-13 전북대학교산학협력단 화학기상 증착장치

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
KR101409890B1 (ko) * 2007-07-26 2014-07-02 주식회사 원익아이피에스 가스공급장치, 이를 구비한 박막증착장치 및 이를 이용한박막증착방법
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
KR100957492B1 (ko) * 2008-05-06 2010-05-17 주식회사 휘닉스 디지탈테크 소스 가스와 반응 가스의 배기 속도와 퍼지 가스의 배기속도를 다르게 하는 가스 분사 헤드와 상기 가스 분사헤드를 구비하는 원자층 박막 증착 장치
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
US9121096B2 (en) * 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
DE102008055582A1 (de) * 2008-12-23 2010-06-24 Aixtron Ag MOCVD-Reaktor mit zylindrischem Gaseinlassorgan
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
DE102009043840A1 (de) 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
CN101914762B (zh) * 2010-08-31 2013-03-06 广东省中科宏微半导体设备有限公司 一种用于金属有机物化学气相沉积设备的进气喷头结构
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
CN102534559A (zh) * 2012-02-10 2012-07-04 中国科学院半导体研究所 用于金属有机气相沉积设备的进气喷头
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
FR3010092B1 (fr) * 2013-09-02 2017-05-26 Commissariat Energie Atomique Procede et dispositif de traitement de la surface libre d'un materiau
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6664993B2 (ja) 2016-03-01 2020-03-13 株式会社ニューフレアテクノロジー 成膜装置
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
DE202017105481U1 (de) 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN108878326A (zh) * 2018-06-27 2018-11-23 德淮半导体有限公司 注入器及其包含注入器的工艺装置
TWI689618B (zh) * 2018-10-04 2020-04-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
CN110408910B (zh) * 2019-08-16 2020-08-28 中国科学院上海微系统与信息技术研究所 高通量气相沉积设备及气相沉积方法
DE102019129789A1 (de) 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
DE102019133023A1 (de) * 2019-12-04 2021-06-10 Aixtron Se Gaseinlassvorrichtung für einen CVD-Reaktor
CN112090602B (zh) * 2020-09-24 2021-11-16 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN113403609B (zh) * 2021-06-16 2023-08-15 苏州矩阵光电有限公司 Mocvd腔体结构的控制方法
CN115110037B (zh) * 2022-06-23 2024-01-12 北海惠科半导体科技有限公司 蒸发镀膜装置的镀膜方法和蒸发镀膜装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPH03170675A (ja) * 1989-11-28 1991-07-24 Mitsubishi Electric Corp 化学気相成長装置
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP3962509B2 (ja) * 1999-09-16 2007-08-22 株式会社東芝 気相成長装置
KR100390961B1 (ko) * 1999-12-29 2003-07-10 주식회사 하이닉스반도체 듀플럭스 존 샤워 헤드 및 이를 적용하는 케미커리인핸스드 화학기상증착 장비
JP2001023910A (ja) * 2000-01-01 2001-01-26 Fujitsu Ltd 半導体製造装置
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
WO2002045561A2 (en) * 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP4324663B2 (ja) * 2002-09-05 2009-09-02 独立行政法人産業技術総合研究所 シャワーヘッド及びシャワーヘッドを用いた半導体熱処理装置
DE10247921A1 (de) * 2002-10-10 2004-04-22 Aixtron Ag Hydrid VPE Reaktor
JP4256698B2 (ja) * 2003-03-10 2009-04-22 サムコ株式会社 多層薄膜製造装置及び製造方法
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140061401A (ko) * 2011-07-12 2014-05-21 아익스트론 에스이 Cvd 반응기의 가스 입구 부재
KR101481540B1 (ko) * 2012-10-11 2015-01-13 전북대학교산학협력단 화학기상 증착장치

Also Published As

Publication number Publication date
WO2007060159A1 (de) 2007-05-31
CN101313086B (zh) 2011-10-19
EP1951931B1 (de) 2010-12-29
EP1951931A1 (de) 2008-08-06
US20100003405A1 (en) 2010-01-07
DE502006008626D1 (de) 2011-02-10
DE102005055468A1 (de) 2007-05-24
ATE493521T1 (de) 2011-01-15
CN101313086A (zh) 2008-11-26
TW200728495A (en) 2007-08-01
JP5137843B2 (ja) 2013-02-06
JP2009516777A (ja) 2009-04-23

Similar Documents

Publication Publication Date Title
KR20080075198A (ko) Cvd 반응기에서 층들을 증착하는 방법 및 cvd반응기용 가스 유입 부재
TWI404821B (zh) 用於大量沉積材料於微特徵工件上之微特徵工件處理裝置以及方法
US11286566B2 (en) Apparatus for deposition of a III-V semiconductor layer
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6533867B2 (en) Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
JP5009167B2 (ja) 平板内に設けられた予備室を有するガス分配装置
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
KR101716678B1 (ko) Cvd 반응기 그리고 코팅을 증착시키는 방법
US20140103145A1 (en) Semiconductor reaction chamber showerhead
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
JP2004514642A (ja) 特に結晶質皮膜を沈積する方法ならびにその方法を実施する装置
KR20070107711A (ko) Cvd 반응기용 가스 유입 요소
JP5051757B2 (ja) 気相成長装置および気相成長方法
CN111719138A (zh) 反应器歧管
JP5031910B2 (ja) 気相成長装置
US20230002902A1 (en) Gas inlet device for a cvd reactor
JP2012009752A (ja) 気相成長装置、及びガス吐出装置
CN103299398B (zh) 薄膜蒸镀方法及薄膜蒸镀装置
JP2010232376A (ja) 気相成長装置の原料ガス供給ノズル
US20230323537A1 (en) Gas inlet element of a cvd reactor with two infeed points
KR20120087503A (ko) 박막 증착 방법
JP2023539899A (ja) 温度調整可能なガス入口領域を備えたcvdリアクタ
KR20100067644A (ko) 배치 방식 반도체 제조 장치
WO2012093806A2 (ko) 박막 증착 방법 및 박막 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application