KR20080037565A - 열 프로세스에 의한 에칭된 챔버로부터 할로겐 잔류물들을제거하기 위한 통합 방법 - Google Patents

열 프로세스에 의한 에칭된 챔버로부터 할로겐 잔류물들을제거하기 위한 통합 방법 Download PDF

Info

Publication number
KR20080037565A
KR20080037565A KR1020070107670A KR20070107670A KR20080037565A KR 20080037565 A KR20080037565 A KR 20080037565A KR 1020070107670 A KR1020070107670 A KR 1020070107670A KR 20070107670 A KR20070107670 A KR 20070107670A KR 20080037565 A KR20080037565 A KR 20080037565A
Authority
KR
South Korea
Prior art keywords
substrate
processing
chamber
etched
heating
Prior art date
Application number
KR1020070107670A
Other languages
English (en)
Other versions
KR101010419B1 (ko
Inventor
마크 나오시 가와구치
킨 퐁 로
브레트 크리스쳔 후겐센
샌디 엠. 웬
스티븐 에이치. 김
케네스 제이. 방
매튜 펜톤 다비스
토르스텐 릴
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/553,132 external-priority patent/US7655571B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080037565A publication Critical patent/KR20080037565A/ko
Application granted granted Critical
Publication of KR101010419B1 publication Critical patent/KR101010419B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Abstract

기판으로부터 휘발성 잔류물들을 제거하기 위한 방법 및 시스템이 제공된다. 일 실시예에서, 휘발성 잔류물들 제거 프로세스는 기판상에 할로겐 처리 프로세스를 수행하면서 시스템의 순항로에서 수행된다. 휘발성 잔류물들 제거 프로세스는 할로겐 처리 프로세싱 챔버 및 FOUP 이외의 시스템에서 수행된다. 일 실시예에서, 기판으로부터 휘발성 잔류물들을 제거하기 위한 방법은 진공 기밀 플랫폼을 갖는 처리 시스템을 제공하는 단계, 할로겐을 포함하는 화학제로 상기 플랫폼의 처리 챔버에서 기판을 처리하는 단계, 및 상기 처리된 기판으로부터 휘발성 잔류물들을 방출시키기 위해 상기 플랫폼에서 상기 처리된 기판을 처리하는 단계를 포함한다.

Description

열 프로세스에 의한 에칭된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법{INTEGRATED METHOD FOR REMOVAL OF HALOGEN RESIDUES FROM ETCHED SUBSTRATES BY THERMAL PROCESS}
본 발명은 일반적으로 반도체 기판상에 소자들을 제조하기 위한 방법 및 장치에 관한 것이다. 보다 구체적으로는, 본 발명은 반도체 기판의 층을 플라즈마 에칭한 이후 할로겐-함유 잔류물들을 제거하기 위한 방법 및 장치에 관한 것이다.
초대규모 집적(ULSI) 회로들은 실리콘(Si) 기판과 같은 반도체 기판 상에 형성되고 소자내에서 다양한 기능들을 수행하도록 상호동작하는, 백만개 보다 많은 전자 소자들(예, 트랜지스터)을 포함할 수 있다. 통상적으로, ULSI 회로들에 사용되는 트랜지스터들은 상보적인 금속-산화물-반도체(CMOS) 필드 효과 트랜지스터들이다. CMOS 트랜지스터는 폴리실리콘 게이트 전극과 게이트 유전체를 포함하는 게이트 구조물을 갖고, 기판에 형성되는 소스 영역과 드레인 영역 사이에 배치된다.
플라즈마 에칭은 트랜지스터들 및 다른 전자 소자들의 제조에 통상적으로 사용된다. 트랜지스터 구조물들을 형성하는데 사용되는 플라즈마 에칭 프로세스들 동안, 막 적층물의 하나 이상의 층들(예, 실리콘, 폴리실리콘, 하프늄 이산화 물(HfO2), 이산화 실리콘(SiO2), 금속 물질들의 층들 등)은 통상적으로, 수소 브롬화물(HBr), 염소(Cl2), 탄소 테트라플루오라이드(CF4) 등과 같은 적어도 하나의 할로겐-함유 가스를 포함하는 에천트들에 노출된다. 그러한 프로세스들은 에칭된 피쳐들, 에칭 마스크들, 및 기판상의 다른 곳의 표면들상에 할로겐-함유 잔류물이 형성되도록 한다.
비-진공(non-vacuumed) 환경에 노출될 때(예, 팩토리 인터페이스들 또는 기판 스토리지 카세트들 내에서), 및/또는 연속적인 처리 동안, 기체 할로겐들과 할로겐-기질 반응물들(예, 브롬(Br2), 염소(Cl2), 염화 수소(HCl) 등)이 에칭 동안 증착되는 할로겐-함유 잔류물들로부터 배출될 수 있다. 배출된 할로겐들과 할로겐-기질 반응물들은 입자 오염물을 생성하고, 기판상의 금속 층들의 노출 부분들의 부식 뿐만 아니라 처리 시스템들과 팩토리 인터페이스들의 내부 부식을 초래한다. 처리 시스템들과 팩토리 인터페이스들의 세정 및 부식된 부품들의 교환은 시간 소모적이고 고비용의 프로시저이다.
에칭된 기판들상의 할로겐-함유 잔류물들을 제거하기 위해 몇가지 프로세스들이 개발되었다. 예를 들어, 반응기 외부로 기체-방출 및 펌핑될 수 있는 비-부식성 휘발성 화합물들로 할로겐-함유 잔류물들을 변환시키는 가스 혼합물에 에칭된 기판을 노출시키기 위해, 에칭된 기판이 원격 플라즈마 반응기로 운반될 수 있다. 그러나, 그러한 프로세스는 부가적인 단계와 함께 전용 처리 챔버를 필요로 하고, 높은 툴 비용을 초래하며, 제조 생산성과 수율을 감소시켜서, 높은 제조 비용을 초 래한다.
다른 예에서, 할로겐-함유 잔류물들은 추가적인 처리가 목표될 때까지 에칭된 기판상에 캡슐화(encapsulation)될 수 있고, 추가적인 처리시에 캡슐화가 제거된다.
따라서, 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 개선된 방법 및 장치가 필요하다.
에칭된 기판으로부터 휘발성 잔류물들을 제거하기 위한 방법 및 시스템이 제공된다. 일 실시예에서, 기판으로부터 휘발성 잔류물들을 제거하기 위한 방법은 진공 기밀 플랫폼을 갖는 처리 시스템을 제공하는 단계, 할로겐을 포함하는 화학제로 상기 플랫폼의 처리 챔버에서 기판을 처리하는 단계, 및 상기 처리된 기판으로부터 휘발성 잔류물들을 배출시키기 위해 상기 플랫폼에서 상기 처리된 기판을 처리하는 단계를 포함한다.
다른 실시예에서, 기판으로부터 휘발성 잔류물들을 제거하기 위한 방법은 처리 챔버 및 처리 챔버 외부에 배치된 기판 히터를 구비한 처리 시스템을 제공하는 단계, 할로겐을 포함하는 화학제로 상기 처리 챔버에서 기판을 에칭하는 단계, 상기 기판으로부터 휘발성 잔류물들을 배출시키기 위해 상기 처리 시스템에 있는 동안 상기 기판 히터로 상기 에칭된 기판을 처리하는 단계, 및 프로세스 엔드포인트(endpoint)를 결정하기 위해 상기 기판을 가열하는 동안 기판 투과율(transmittance)의 변화를 검출하는 단계를 포함한다.
또 다른 실시예에서, 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법은 적어도 하나의 처리 챔버, 및 가열 엘리먼트를 포함하는 챔버를 구비한 처리 시스템을 제공하는 단계, 브롬화물을 포함하는 화학제로 상기 처리 챔버에서 상기 기판을 에칭하는 단계, 상기 가열 엘리먼트를 포함하는 챔버에서 상기 에칭된 기판을 처리하는 단계, 및 가열 동안 상기 기판을 O3에 노출시키는 단계를 포함한 다.
본 발명의 상기 인용된 특징들이 상세히 이해될 수 있는 방식으로, 상기에서 간략히 요약된 본 발명의 보다 상세한 설명이 실시예들을 참조로 이루어질 수 있으며, 그 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 간주되어서는 안되며, 본 발명은 다른 동일한 효과적인 실시예들에 적용될 수 있다는 것을 유의해야 한다.
이해를 용이하게 하기 위해, 도면들에 공통인 동일한 엘리먼트들을 지칭하도록 가능한 동일한 참조 부호들이 사용되었다. 일 실시예의 엘리먼트들과 피쳐들은 추가적인 인용 없이 다른 실시예들에 바람직하게 포함될 수 있다는 것을 고려해야 한다.
그러나, 첨부된 도면들은 본 발명의 예시적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 간주되어서는 안되며, 본 발명은 다른 동일한 실시예들에 적용될 수 있다는 점을 유의해야 한다.
본 발명은 기판 웨이퍼가 전-처리(pre-processing) 또는 후-처리(post-processing)를 위해 전용될 수 있는 별도의 챔버로 진행함이 없이, 메인 에칭 챔버와 기판 수송 FOUP 사이의 순항로(en-route)를 통과하는 임의의 위치들에서 전-처리 및/또는 후-처리(메인 에칭 챔버 외부에서)를 위한 방법 및 시스템을 제공한다. 기판이 통과하는 위치들은 로드락 챔버로 제한되지 않고 운반(transfer) 챔버를 포 함한다. 또한, 본 발명은 할로겐을 포함하는 에천트를 이용하여 에칭된 기판으로부터의 할로겐-함유 잔류물들의 제거와 같은 후-처리 순항을 위한 방법 및 시스템을 제공한다. 할로겐-함유 잔류물들 제거 프로세스는 시스템의 진공 플랫폼 하에 임의의 위치에서 수행될 수 있다는 것을 고려한다. 일 실시예에서, 기판 에칭 동안 증착되는 할로겐-함유 잔류물들은 처리 시스템의 진공-기밀 플랫폼에서 수행되는 열 프로세스에 의해 제거된다. 열적으로 처리될 수 있는 진공 기밀 플랫폼의 부분은 로봇 블레이드(blade)상에 로드락 챔버, 운반 챔버, 처리 챔버, 및 메인 에칭 챔버와 웨이퍼 수송 FOUP 사이의 처리 시스템의 진공하에서 임의의 다른 적절한 위치를 포함할 수 있다. 열 프로세스는 에칭된 기판을 가열하고, 할로겐-함유 잔류물들을 펌핑될 수 있는 비휘발성 화합물들로 변환시킨다. 할로겐-함유 잔류물 제거 프로세스를 수행하기 위해 선택된 위치는 전체 프로세스 사이클 시간이 악영향을 주지 않도록 "순항로(en-route)"로 선택될 수 있다. 본 발명은 높은 생산성과 처리 수율을 유지하면서, 실질적으로 처리 시스템과 기판의 환경을 오염 및 부식으로부터 방지한다.
도 1은 할로겐-함유 잔류물들을 제거하기 위한 열 프로세스가 수행될 수 있는 처리 시스템(100)의 일 실시예의 개념적인 상부 평면도이다. 일 실시예에서, 처리 시스템(100)은 캘리포니아 산타클레라에 위치한 어플라이드 머티어리얼스 사로부터 상업적으로 이용가능한 CENTURA® 집적 처리 시스템으로 적절히 적용될 수 있다. 다른 처리 시스템들(다른 제조자들로부터의 처리 시스템들을 포함함)이 본 발명의 장점을 갖도록 적용될 수 있다는 것을 고려한다.
시스템(100)은 진공-기밀 처리 플랫폼(104), 팩토리 인터페이스(102), 및 시스템 제어기(144)를 포함한다. 플랫폼(104)은 처리 챔버들(110, 112, 132, 128, 120)로서 도시된 다수의 처리 챔버들, 및 진공 기판 운반 챔버(136)에 결합된 적어도 하나의 로드 락 챔버(122)를 포함한다. 2개의 로드 락 챔버들(122)은 도 1에 도시된다. 팩토리 인터페이스(102)는 로드 락 챔버들(122)에 의해 운반 챔버(136)에 결합된다.
일 실시예에서, 팩토리 인터페이스(102)는 기판들의 운반을 용이하게 하기 위해, 적어도 하나의 도킹 스테이션(108) 및 적어도 하나의 팩토리 인터페이스 로봇(114)을 포함한다. 도킹 스테이션(108)은 하나 이상의 전단 개구 통합 포드(FOUP)를 수용하도록 구성된다. 2개의 FOUPS(106A-106B)는 도 1의 실시예에 도시된다. 로봇(114)의 일 단부에 배치된 블레이드(116)를 갖는 팩토리 인터페이스 로봇(114)은 팩토리 인터페이스(102)로부터 처리 플랫폼(104)의 로드 락 챔버들(122)로 기판을 운반하도록 구성된다. 선택적으로, 하나 이상의 계측 스테이션들(118)은 팩토리 인터페이스(102)내에 있는 동안, 기판들의 측정을 용이하게 하기 위해 팩토리 인터페이스(102)의 터미널(126)에 접속될 수 있다.
각각의 로드 락 챔버들(122)은 팩토리 인터페이스(102)에 결합된 제 1 포트, 및 운반 챔버(136)에 결합된 제 2 포트를 갖는다. 로드 락 챔버들(122)은 운반 챔버(136)의 진공 환경과 팩토리 인터페이스(102)의 실질적인 주위(예, 대기) 환경 사이에서 기판의 통과를 용이하기 하기 위해, 로드 락 챔버들(122)을 펌핑 및 배출시키는 압력 제어 시스템(미도시)에 결합된다.
운반 챔버(136)는 그 내부에 배치된 진공 로봇(130)을 갖는다. 진공 로봇(130)은 로드 락 챔버들(122)과 처리 챔버들(110, 112, 132, 128, 120) 사이에서 기판들(124)을 운반할 수 있는 블레이드(134)를 구비한다.
일 실시예에서, 적어도 하나의 처리 챔버들(110, 112, 132, 128, 120)은 에칭 챔버이다. 예를 들어, 어플라이드 머티어리얼스 사로부터 이용가능한 Decoupled Plasma Source(DPS) 챔버일 수 있다. DPS 에칭 챔버는 고밀도 플라즈마를 형성하기 위해 유도성(inductive) 소스를 사용하고, 기판을 바이어스시키기 위해 무선-주파수(RF) 전력 소스를 포함한다. 선택적으로, 적어도 하나의 처리 챔버들(110, 112, 132, 128, 120)은 다른 제조사들의 것들을 포함하면서, 어플라이드 머티어리얼스 사로부터 이용가능한 HART™, E-MAX®, DPS Ⅱ, PRODUCER E, 또는 ENABLER® 에칭 챔버 중 하나, 또는 다른 챔버일 수 있다. 예를 들어 챔버(110)와 같은 에칭 챔버는 그 내부에 배치된 기판(124)을 에칭하기 위해 할로겐-함유 가스를 사용할 수 있다. 할로겐-함유 가스의 예들은 수소 브롬화불(HBr), 염소(Cl2), 탄소 테트라플루오라이드(CF4) 등을 포함한다. 기판(124)을 에칭한 이후, 할로겐-함유 잔류물들은 기판 표면상에 남겨질 수 있다. 할로겐-함유 잔류물들은 그 내부에 수행되는 열 프로세스에 의해 제거될 수 있다. 열 프로세스는 기판을 가열하도록 설비된 처리 챔버들(110, 112, 132, 128, 120) 중 하나에서와 같이, 플랫폼(104)에서 인-시튜(in-situ)로 수행될 수 있다. 열 프로세스가 수행되는 플랫폼(104)의 영역은 기판 온도가 모니터링될 수 있도록 열 프로세스 동안 기판을 모 니터링하도록 배치된 에너지 생성기 및 센서를 포함할 수도 있다. 예시적인 실시예에서, 열 처리 프로세스는 로드 락 챔버(122)에서 수행되지만, 열 처리 프로세스는 시스템(100)의 적절한 설비 영역에서 수행될 수 있다.
시스템 제어기(144)는 시스템(100)의 처리 챔버들(110, 112, 132, 128, 120)의 직접 제어를 이용하거나, 또는 선택적으로 시스템(100) 및 처리 챔버들(110, 112, 132, 128, 120)과 연동되는 컴퓨터들(또는 제어기들)을 제어함으로써, 시스템(100)의 동작을 제어한다. 동작시, 시스템 제어기(144)는 시스템(100)의 성능을 최적화하기 위해 각각의 챔버들과 시스템 제어기(144)로부터의 데이터 수집 및 피드백을 가능하게 한다.
시스템 제어기(144)는 일반적으로 중앙 처리 유닛(CPU)(138), 메모리(140), 및 지원 회로(142)를 포함한다. CPU(138)는 산업적인 설정으로 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(142)은 통상적으로 CPU(138)에 결합되고, 캐시, 클럭 회로들, 입력/출력 서브시스템들, 전원들 등을 포함할 수 있다. 도 4를 참조로 이하에서 기술되는 할로겐-함유 잔류물들을 제거하기 위한 방법(400)과 같은 소프트웨어 루틴들은 CPU(138)에 의해 실행될 때, CPU(138)를 특정 범용 컴퓨터(제어기)(144)로 변환시킨다. 소프트웨어 루틴들은 시스템(100)으로부터 떨어져 위치되는 제 2 제어기(미도시)에 의해 저장 및/또는 실행될 수도 있다.
도 2는 기판상에서 열 프로세스를 수행하는데 사용될 수 있는 로드 락 챔버(122)의 일 실시예를 도시한다. 로드 락 챔버(122)는 일반적으로 챔버 몸 체(202), 제 1 기판 홀더(204), 제 2 기판 홀더(206), 온도 제어 페디스털(pedestal)(240), 및 히터 모듈(270)과 같은 에너지 소스를 포함한다. 센서(298)는 온도 제어 페디스털(240)내에 배치된다. 챔버 몸체(202)는 알루미늄과 같은 물질의 단일체로부터 제조될 수 있다. 챔버 몸체(202)는 챔버 부피(218)를 규정하는 제 1 측벽(208), 제 2 측벽(210), 상면(214) 및 저면(216)을 포함한다. 전형적으로 석영으로 이루어진 윈도우(250)는 챔버 몸체(202)의 상면(214)에 배치되고, 히터 모듈(270)에 의해 적어도 부분적으로 커버된다. 일 실시예에서, 다수의 램프들(294)은 기판 열 처리를 위해 열을 생성하기 위해 히터 모듈(270)에 배치된다. 일 실시예에서, 램프들(294)은 약 700nm 내지 약 14000nm의 파장을 갖는 적외선 방사를 제공하는 석영 할로겐 램프들이다. 램프들(294)로부터 생성되는 적외선 방사는 기판을 가열하는 열을 제공할 수 있고, 약 500℃까지 기판 온도를 증가시킬 수 있다. 일반적으로, 센서(678)의 파장은 측정하고자 하는 온도의 범위로, 예를 들어 열 프로세스 엔드포인트의 온도 범위로 가열되는 막들 및/또는 물질들을 통한 투과율의 높은 변화를 갖도록 선택된다.
일 실시예에서, 센서(298)는 100℃ 내지 약 500℃의 기판 온도 범위를 측정하도록 조정되는 InGaAs 다이오드 센서이다. 센서(298)는 광 조준기(collimator)(292) 및 필터(278)와 광학적으로 정렬된다. 광 조준기(292)는 광 도관(276)(즉, 광섬유)의 단부(274)와 기판(296) 사이의 페디스털(240)에 배치된다. 광 도관(276)은 기판(296)과 조준기(292)를 통해 필터(278)로 통과하는 수집된 에너지를 검출한다. 필터(278)는 광 조준기(292)로부터 수집된 신호를 필터링 하도록 조정되고, 단지 목표된 파장을 갖는 IR 광을 센서(298)로 제공한다.
일 실시예에서, 광 조준기(292)는 산란된 에너지의 유입 및 도관(276)으로의 다른 노이즈 유입을 최소화하도록 선택된 미리 규정된 각도(290)에서 기판에 입사하는 광 도관(276)에 에너지가 진입할 수 있도록 선택된 개구를 갖는다. 예를 들어, 광 조준기(292)의 선택된 각도(290)는 단지 수집되는 각도(290)만큼 규정된 원뿔 내에서 기판을 통과하는 광(288)을 허용하고, 선택된 각도(290) 외부의 각도들에서 기판으로 입사하는 광이 광 도관(250)으로 진입하는 것을 방지한다. 챔버 벽(284)으로부터 원치 않는 반사 광 및/또는 백그라운드(282, 280)로부터 생성되는 노이즈는 조준기(292)를 통해 광 도관(270)에 진입하는 신호와 방해되는 것이 방지될 수 있고, 최종적으로 필터(278)를 통해 센서(298)에 도달하는 것이 방지될 수 있다. 그 다음, 센서(298)에 도달하는 광 에너지는 기판(298)의 온도를 계산하도록 추가로 분석된다.
챔버 부피(218)의 압력은 로드 락 챔버(122)가 운반 챔버(136)의 환경에 실질적으로 매칭되기 위해 진공될 수 있고, 팩토리 인터페이스(102)의 환경에 실질적으로 매칭되기 위해 배출될 수 있도록 제어될 수 있다. 챔버 몸체(202)는 입자 오염을 최소화하기 위해 배출 및 진공 동안 챔버 부피(218)내에 유선형 흐름(laminar flow)을 제공하도록, 하나 이상의 배출 통로들(230) 및 펌프 통로(232)를 포함한다. 배출 통로(230)는 챔버 부피(218)로 가스 혼합물을 제공하기 위해 가스 소스(252)에 부가적으로 결합될 수 있다. 가스 소스(252)로부터 공급될 수 있는 가 스들의 예들은 질소(N2), 아르곤(Ar), 수소(H2), 알칸, 알켄, 헬륨(He), 산소(O2), 오존(O3), 수증기(H2O) 등을 포함한다. 펌프 통로(232)는 가스들을 아래로 펌핑시키고 목표된 포인트에서 로드 락 챔버(122)의 압력을 제어하기 위해, 펌프(236)에 결합된다.
제 1 로딩 포트(238)는 기판(124)이 로드 락 챔버(122)와 팩토리 인터페이스(102) 사이에서 기판(124)이 전달되도록 하는 챔버 몸체(202)의 제 1 벽(208)에 배치된다. 제 1 슬릿 밸브(244)는 로드 락 챔버(122)를 팩토리 인터페이스(102)와 절연시키기 위해 제 1 로딩 포트(238)를 선택적으로 밀봉시킨다. 제 2 로딩 포트(239)는 로드 락 챔버(122)와 운반 챔버(136) 사이에서 기판(124)이 전달될 수 있도록 챔버 몸체(202)의 제 2 벽(210)에 배치된다. 제 1 슬릿 밸브(244)와 실질적으로 유사한 제 2 슬릿 밸브(246)는 로드 락 챔버(122)를 운반 챔버(136)의 진공 환경으로부터 절연시키기 위해 제 2 로딩 포트(239)를 선택적으로 밀봉시킨다.
제 1 기판 홀더(204)는 챔버 저면(216) 위에 배치된 제 2 기판 홀더(206)에 동심으로 결합된다(즉, 제 2 기판 홀더의 상부에 적층된다). 기판 홀더들(204, 206)은 일반적으로 챔버 몸체(202)의 저면(216)을 통해 연장되는 샤프트(258)에 결합된 후프(hoop)(220)에 장착된다. 각각의 기판 홀더(204, 206)는 하나의 기판을 유지하도록 구성된다. 샤프트(282)는 챔버 몸체(202)내에서 기판 홀더들(204, 206)의 승강을 제어하는 로드 락 챔버(122) 외부에 배치된 리프트 메커니즘(260)에 결합된다. 제 1 기판 홀더(204)는 팩토리 인터페이스(102)로부터 처리되지 않은 기판을 유지시키는데 사용되는 반면에, 제 2 기판 홀더(206)는 운반 챔버(136)로부터 리턴되는 처리된 기판(예, 에칭된 기판)을 유지시키는데 사용된다. 도 2에 도시된 실시예에서, 처리된 기판(296)은 처리 챔버(110, 112, 132, 128, 120) 중 임의의 하나에서 처리 이후, 제 2 기판 홀더(206)상에 위치된다.
도 3은 도 1의 처리 시스템(100)의 운반 챔버(136)의 부분 단면도를 도시한다. 도 3에 도시된 실시예에서, 운반 챔버(136)는 휘발성 잔류물들이 기판으로부터 방출될 수 있도록 하기 위해 기판을 열적으로 처리하도록 구성된다. 전달 챔버(136)에 배치된 기판은 임의의 적절한 히터 또는 에너지 소스에 의해 가열될 수 있다. 일 예에서, 기판은 운반 챔버 로봇(130)의 블레이드(134)에 내장된 저항성 가열 엘리먼트(322)에 의해 가열될 수 있다. 다른 실시예에서, 기판은 운반 챔버(136)의 상부에, 또는 운반 챔버(136)의 아래에 배치된 히터 모듈(302)에 의해 가열될 수 있다. 히터 모듈(302)은 기판을 기열하기 위해 적합한 하나 이상의 램프들(306)을 포함할 수 있다. 또 다른 실시예에서, 기판은 운반 챔버(136)에 배치된 가열된 페디스털(314)에 의해 가열될 수 있다. 페디스털(314)은 저항성 히터(322) 또는 다른 적절한 가열 장치를 포함할 수 있다. 기판은 운반 챔버(136)네에서 또는 진공 하에 있는 시스템(100)의 다른 부분내에서 다른 방법들에 의해 가열될 수 있다는 것을 고려한다.
기판의 가열 동안, 기판은 기판으로부터 휘발물들의 방출, 및 시스템으로붙 방출된 휘발물들의 제거를 용이하게 하는 하나 이상의 가스들에 노출될 수 있다. 도 3에 도시된 실시예에서, 운반 챔버(136)는 가스 소스(316)로부터 운반 챔 버(136)의 내부 부피(330)로 상이한 처리 가스들을 공급하도록 제공되는 기스 통로들(318)을 포함한다. 가스 소스(316)로부터 공급될 수 있는 가스들의 예들은 질소(N2), 아르곤(Ar), 수소(H2), 알칸, 알켄, 헬륨(He), 산소(O2), 오존(O3), 수증기(H2O) 등을 포함한다.
선택적으로, 운반 챔버(136)는 가열 동안 기판의 온도를 감지하도록 구비될 수 있다. 일 실시예에서, 에너지 생성기(304)는 기판(296)을 통해 센서(310)로 전송되는 에너지 신호를 제공하는 운반 챔버(136)의 상부에 배치된다. 에너지 생성기(304)는 레이저, 광역 빔 광원 도는 다른 적절한 파 생성기일 수 있고, 일 실시예에서, 에너지 생성기(304)는 램프들(306) 중 하나일 수 있다.
조준기(312)는 에너지 생성기(304)로부터 기판(296)을 통해 미리 규정된 입사각내에서 전송되는 에너지를 수집하기 위해 기판(296) 아래에 배치될 수 있다. 기판(296)을 통해 전송되고 조준기에 의해 수집되는 에너지는 도 2를 참조로 전술한 것처럼, 기판의 온도를 결정하기 위해 광섬유 도관에 의해 센서(310)로 순차적으로 전송된다.
도 4는 본 발명에 따라 기판으로부터 할로겐-함유 잔류물을 제거하기 위한 방법(400)의 흐름도를 도시한다. 방법(400)은 도 1의 처리 장치(100)에서 수행된다. 방법(400)은 다른 제조사들의 것들을 포함하여 다른 적절한 처리 시스템들에서 수행될 수 있다는 것을 고려한다.
방법(400)은 에칭 프로세스를 수행하기 위한 처리 시스템(100)에서, 에칭 챔 버들(110, 112, 132, 128, 120) 중 하나와 같은, 에칭 챔버로 그 상부에 배치된 층을 갖는 기판을 제공함으로써 단계(402)에서 시작된다. 팩토리 인터페이스 로봇(114)은 FOUP들(106A-106B) 중 하나로부터 로드 락 챔버(122)의 제 1 기판 홀더(204)로 처리될 기판을 운반한다. 기판은 막 처리가 수행되는 임의의 기판 또는 물질 표면일 수 있다. 일 실시예에서, 기판은 게이트 구조물과 같은 구조물을 형성하는데 사용되는 그 상부에 형성된 층 또는 층들을 가질 수 있다. 기판은 기판으로 피쳐들 또는 구조물들의 전달을 용이하게 하기 위해 기판 상에 배치된 에칭 스톱층 및/또는 에칭 마스크로서 마스크 층을 선택적으로 사용할 수 있다. 다른 실시예에서, 기판은 이중 다마신 구조물 등과 같이, 상이한 패턴들 및/또는 피쳐들을 형성하는데 사용되는 예를 들어, 막 적층물과 같은 다중 층들을 가질 수 있다. 기판은 결정 실리콘(예, Si<100> 또는 Si<111>), 실리콘 산화물, 변형된(strained) 실리콘, 실리콘 게르마늄, 도핑 또는 비도핑 폴리실리콘, 도핑 또는 비도핑 실리콘 웨이퍼들, 및 절연체상의 패턴 또는 비-패턴화된 실리콘 웨이퍼들(SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 실리콘상에 배치된 금속층들 등과 같은 물질일 수 있다. 기판은 직사각형 또는 정사각형 패널들 뿐만 아니라, 200mm 또는 300mm 직경 웨이퍼들과 같은 다양한 치수들을 가질 수 있다. 본 발명에 도시된 실시예에서, 기판은 실리콘 반도체 기판일 수 있다.
일 실시예에서, 로드 락 챔버(122)로 전달되는 기판은 히터 모듈(270) 또는 로드 락 챔버(122)의 온도 제어 페디스털(240)에 의해 미리 결정된 온도로 미리 가 열될 수 있다. 다른 실시예에서, 기판은 운반 챔버 로못(130)의 블레이드상에 있는 동안 미리 가열된다. 일 실시예에서, 기판은 약 20℃ 내지 약 400℃의 온도로 미리 가열될 수 있다. 로드 락 챔버(122)와 운반 챔버(136)내의 압력이 실질적으로 동일한 이후, 진공 로봇(130)은 기판을 처리 챔버들 중 임의의 하나로, 예를 들어 챔버(110)로 운반하여 에칭 프로세스를 수행한다.
단계(404)에서, 기판은 기판 상에 목표된 피쳐들과 패턴들을 형성하기 위해 처리 챔버(110)에서 에칭된다. 기판이 기판 표면상에 배치된 마스크 층들을 갖는 실시예들에서, 에칭 프로세스는 목표된 피쳐들과 패턴들을 형성하는 동시에 마스크 층들을 에칭한다.
일 실시예에서, 기판은 적어도 할로겐-함유 가스를 갖는 가스 혼합물을 공급함으로써 에칭된다. 할로겐-함유 가스의 적절한 예들은 이에 제한됨이 없이, 수소 브롬화물(HBr), 염소(Cl2), 탄소 테트라플루오라이드(CF4) 등을 포함한다. 폴리실리콘을 에칭하기 위해 적합한 예시적인 실시예에서, 처리 챔버(110)에 제공되는 가스 혼합물은 20sccm 내지 약 60sccm, 예를 들어 약 40sccm과 같이, 약 20sccm 내지 약 300sccm의 흐름율에서 수소 브롬화물(HBr) 및 염소(Cl2) 가스를 포함하는 가스 혼합물을 제공한다. 불활성 가스는 처리 챔버(110)로의 가스 혼합물에 제공될 수 있다. 불활성 가스의 적절한 예들은 질소(N2), 아르곤(Ar), 헬륨(He) 등을 포함할 수 있다. 일 실시예에서, N2와 같은 불활성 가스는 약 0sccm 내지 약 40sccm, 예를 들어 약 20sccm과 같이, 약 0sccm 내지 약 200sccm의 흐름율에서 가스 혼합물에 제 공될 수 있다. 일산화 탄소(CO)와 같은 환원 가스가 가스 혼합물에 제공될 수 있다. 에칭 프로세스를 위한 플라즈마 전력은 약 500와트 내지 약 1500와트, 예를 들어 약 1100와트와 같이, 약 200와트 내지 약 3000와트로 유지될 수 있고, 바이어스 전력은 약 0와트 내지 약 80와트, 예를 들어 약 20와트와 같이, 약 0와트 내지 약 300와트로 유지될 수 있다. 프로세스 압력은 약 2mTorr 내지 약 20mTorr, 예를 들어 약 4mTorr와 같이, 약 2mTorr 내지 약 100mTorr에서 제어될 수 있고, 기판 온도는 약 0℃ 내지 약 100℃, 예를 들어 약 45℃와 같이, 약 0℃ 내지 약 200℃에서 유지될 수 있다.
에칭 프로세스 동안, 에칭된 물질들은 마스크 층들의 성분들, 및 존재시 에칭 프로세스의 부산물들과 조합될 수 있을 뿐만 아니라, 에천트 화학제의 성분들과 조합될 수 있고, 이에 따라 할로겐-함유 잔류물들을 형성할 수 있다. 일 실시예에서, 에칭될 기판상의 물질들은 포토레지스트 층, 하드 마스크 층, 저면 반사-방지 코팅(BARC), 폴리실리콘, 결정 실리콘, 게이트 산화물, 티타늄 질화물(TiN)과 같은 금속 게이트, 알루미늄 산화물(Al2O3), 하프늄 함유 산화물과 같은 높은-k 물질들을 포함할 수 있다. 하드 마스크 층의 적절한 예들은 실리콘 질화물, TEOS, 실리콘 산화물, 비정질 탄소, 및 실리콘 카바이드를 포함한다. 할로겐-함유 잔류물들은 기판의 표면들상에 증착된다. 할로겐-함유 잔류물은 대기압들 및/또는 수증기에 노출시, 브롬(Br2), 염소(Cl2), 염화 수소(HCl), 수소 브롬(HBr) 등과 같은 가스 반응물들을 방출(기체배출)할 수 있다. 그러한 반응물들의 방출은 도 1에 도시된 바 와 같이 진공-기밀 처리 플랫폼(104)과 팩토리 인터페이스(102)와 같은, 기판 운반 동안 처리 장치와 팩토리 인터페이스들의 입자 오염 및 부식들을 초래할 수 있다. Cu, Al, W과 같은 금속층들이 기판 표면에 노출되는 실시예들에서, 금속층은 이하에서 기술되는 진보적인 프로세스에 의해 방출된 기체 반응물들이 제거되지 않는다면 방출된 기체 반응물들에 의해 부식될 수 있으며, 이에 따라 기판상에 형성된 소자들의 성능을 부정적으로 저하시킬 수 있다.
할로겐들은 또한 에칭 이외의 방식으로 진공 환경에서 처리되는 기판들의 표면상에 존재할 수 있다. 따라서, 할로겐들은 이하에서 기술되는 방법의 적용가능한 부분을 이용하여 그러한 기판들로부터 제거될 수 있다는 것을 고려한다.
단계(406)에서, 처리된(예, 에칭된) 기판은 프로세스의 종료 이후에 에칭 처리 챔버(110)로부터 제거된다. 처리된(예, 에칭된) 기판은 이하에서 추가로 기술되는 바와 같은 열 프로세스를 수행하기 위해, 에칭 프로세스를 수행하는 에칭 챔버 이외의 처리 시스템(100)의 임의의 챔버로 순차적으로 운반될 수 있다. 예를 들어, 에칭된 기판은 다른 처리 챔버들(112, 132, 128, 120), 운반 챔버(136), 로드 락 챔버(122) 또는 플랫폼(104)의 다른 부분들 중 임의의 하나를 포함하는 열 챔버로 순차적으로 운반될 수 있고, 여기서 기판은 할로겐들을 방출하기 위해 가열될 수 있다. 선택적으로, 열 프로세스는 팩토리 인터페이스(102), 계측 스테이션들(118)에서 발생할 수 있다. 처리된(예, 에칭된) 기판은 단계(408)에서 열적으로 처리되어, FOUP들(106A-106B) 또는 다른 위치에서 대기 조건들 또는 수증기에 노출되기 이전에 단계(404) 동안 생성되는 할로겐-함유 잔류물들을 기판으로부터 제거 한다. 단계(406)는 생략될 수 있고, 이하에서 기술되는 열 프로세스 단계(408)는 기판이 에칭 또는 할로겐들에 노출되는 챔버에서 수행될 수 있거나, 단계(408)는 로드 락 챔버 또는 다른 적절한 위치에서 수행될 수 있다는 것을 고려한다. 또한, 단계(408)는 전술한 열 프로세스 단계(406)가 에칭된 기판으로부터 할로겐들을 효과적으로 제거한다면 생략될 수 있다는 것을 고려한다.
단계(408)에서, 기판은 열적으로 처리되어 기판으로부터 할로겐 및/또는 할로겐-함유 잔류물들을 제거한다. 일 실시예에서, 단계(408)는 기판이 가열 엘리먼트를 갖는 로봇들(114, 130) 중 하나에 배치되거나, 휘발물들이 방출되는 온도로 기판을 가열하기 위해 적합한 히터에 충분히 근접하게 기판을 위치시도록 구성되면서 수행될 수 있다. 예를 들어, 단계(408)의 열 처리 프로세스는 기판이 챔버들 사이에 기판을 운반하는 시퀀스 동안 운반 로봇(130)상에 배치되면서 수행될 수 있다. 선택적으로, 단계(408)에서의 기판은 열 프로세스가 수행되면서 기판 지지 장치에 위치될 수 있다. 일 실시예에서, 단계(408)의 열 프로세스는 로드 락 챔버(122)에서 수행되거나, 운반 챔버(136)의 다른 유사하게 구성된 영역 또는 처리 챔버들(112, 132, 128, 120) 중 하나에서 수행될 수 있다. 이하의 예시적인 설명에서, 단계(408)는 로드 락 챔버(122)에서 수행되는 것으로서 기술된다. 가열 단계와 선택적으로 가스 노출 및 감지 단계 중 적어도 하나는 플랫폼(104) 또는 팩토리 인터페이스(102)의 다른 적절히 설비된 영역들에서 수행될 수 있다는 것을 고려한다.
단계(408)의 예시적인 실시예에서, 열 처리 프로세스는 로드 락 챔버(122)에 서 수행되어 에칭된 기판 표면으로부터 할로겐-함유 잔류물들을 제거한다. 제 2 기판 홀더(206)에 의해 유지되는 에칭된 기판은 히터 모듈(270)을 향해 기판(124)을 상승시키고, 이에 따라 기판으로의 열 전달 세기를 증가시킨다. 히터 모듈(270)로부터의 열은 기판의 표면 온도를 상승시키도록 하고, 이에 따라 에칭된 기판 표면상에 배치된 할로겐-기질 반응물들이 방출 및/또는 기체제거될 수 있도록 한다. 기판 온도가 증가함에 따라, 실리콘 기판의 광 에너지 흡수 특성 또한 가변된다. 예를 들어, 히터 모듈(270)로부터의 광이 실리콘 기판을 가열하고 기판 온도가 상승함에 따라, 기판에 의한 광 에너지 흡수는 높은 기판 온도에서 실리콘 물질의 높은 광 에너지 흡수로 인해 증가한다. 따라서, 가열됨에 따라 보다 적은 광이 실리콘 기판을 통해 전송된다. 센서(298)에 의한 기판 투과율의 변화를 측정함으로써, 기판 온도가 계산될 수 있고, 열 처리 프로세스 동안 기판 온도를 제어하기 위한 프로세스 엔드포인트가 결정될 수 있다.
일 실시예에서, 히터 모듈(270)은 약 20초와 같이, 약 5초 내지 약 120초에서, 약 150℃ 내지 약 400℃ 예를 들어 약 300℃와 같이, 약 20℃ 내지 약 500℃의 온도로 기판을 가열한다. 히터 모듈(270)에 의한 기판의 급속 가열은 에칭된 기판상의 할로겐-함유 잔류물들이 프로세스 사이클 시간을 증가시킴 없이 제거될 수 있도록 한다. 일 실시예에서, 기판은 에칭된 기판상의 할로겐-함유 잔류물들이 그로부터 제거될 때까지, 미리 결정된 시간 주기에서 히터 모듈(270)에 의해 가열될 수 있다. 선택적으로, 엔드포인트는 예를 들어 기판을 통해 광 투과율 변화를 모니터링하는 것과 같이, 기판 온도를 모니터링함으로써 검출될 수 있다.
일 실시예에서, 가스 혼합물은 에칭된 기판을 가열하면서 가스 소스(252)로부터 로드 락 챔버(122)로 공급될 수 있다. 에칭된 기판은 가스 혼합물에 노출되어 가스 혼합물과 반응한다. 가스 혼합물은 기체제거된 할로겐-기질 반응물들을 로드 락 챔버(122) 외부로 펌핑되는 비-부식성 휘발성 화합물들로 변환시킨다. 가스 혼합물은 02, O3, 수증기(H2O)와 같은 산소-함유 가스, H2, 형성 가스, 수증기(H2O), 알칸, 알켄 등과 같은 수소-함유 가스, 또는 질소 가스(N2), 아르곤(Ar), 헬륨(He) 등과 같은 불활성 가스를 포함할 수 있다. 예를 들어, 가스 혼합물은 산소, 질소, 및 수소-함유 가스를 포함할 수 있다. 일 실시예에서, 수소-함유 가스는 수소(H2) 및 수증기(H2O) 중 적어도 하나이다. 다른 예에서, 가스 혼합물은 오존 가스(O3)를 포함할 수 있다. 마스크 층들이 기판에 존재하는 실시예들에서, 마스크 층들은 할로겐-함유 잔류물들과 함께 동시에 제거될 수 있고, 예를 들어 마스크는 로드 락 챔버의 포토레지스트로부터 벗겨진다.
일 실시예에서, 가스 혼합물은 예를 들어 약 7000sccm과 같이 약 100sccm 내지 약 10,000sccm의 흐름율에서 공급될 수 있다. 할로겐-함유 잔류물들이 대개 브롬-기질의 에칭 화학제의 사용으로부터 발생하는 브롬-기질의 잔류물들인 실시예들에서, 가스 혼합물은 오존 가스(O3/O2) 및/또는 O2와 N2와 같은 다른 불활성 가스를 포함할 수 있다. 오존 가스(O3/O2)는 예를 들어 약 7000sccm과 같이 약 100sccm 내지 약 10,000sccm의 흐름율에서 공급될 수 있다. 선택적으로, 불활성 가스는 약 500sccm과 같이, 약 100sccm 내지 약 10,000sccm의 흐름율에서 오존 가스(O3/O2)에 공급될 수 있다. 잔류 가스 분석기(RGA)는 에칭된 기판 표면상에 남아 있는 할로겐-함유 잔류물들을 검출하는데 사용될 수 있다.
선택적으로, 단계(410)가 수행될 수 있고, 여기서 열적으로 처리된 기판이 진공 환경으로부터 제거되기 이전에 부가적인 처리를 위해 시스템의 처리 챔버(110, 112, 132, 128, 120) 중 하나로 리턴된다. 단계(408)의 할로겐 제거 프로세스 이후, 기판은 순차적인 처리 동안 처리 챔버들로 할로겐들을 유입시키지 않고, 이에 따라 처리 챔버들에 대한 손상을 방지한다.
선택적인 단계(412)에서, 열 처리된 기판은 로드 락 챔버(122)에서 냉각된다. 단계(412)에서, 온도 제어 페디스털(240)은 기판을 목표된 온도로 냉각시키는 할로겐 잔류물 제거 단계(408) 이후에 제 2 기판 홀더(206)상에 지지되는 에칭된 기판에 접촉되도록 상승된다. 에칭된 기판은 페디스털(240)을 통해 열을 전달함으로써 냉각된다. 일 실시예에서, 에칭된 기판은 FOUP들(106A-106B)에 대한 손상을 유발함이 없이, 에칭된 기판이 FOUP들(106A-106B)로 러턴할 수 있도록 약 10℃ 내지 약 125℃ 범위의 온도로 냉각될 수 있다.
단계(412)에서 기판을 냉각시키면서, 로드 락 챔버(122)는 처리 사이클 시간을 최소화하기 위해 단계(412)에서 순차적인 기판 운반 프로세스의 준비시에 동시에 배출될 수 있다. 로드 락 챔버(122)와 팩토리 인터페이스(102)의 압력들이 매칭되면, 제 1 슬릿 밸브(244)는 팩토리 인터페이스 로봇(1140이 로드 락 챔버(122) 에 액세스할 수 있도록 개방되어, 로드 락 챔버(122)로부터 에칭된 기판을 제거하고 FOUP들(106A-106B) 중 하나로 리턴된다. 에칭된 기판이 제 2 기판 홀더(206)로부터 제거되는 동시에, FOUP들(106A-106B)로부터 새롭게 처리되지 않은 기판은 제 1 기판 홀더(204)상의 로드 락 챔버(122)로 운반될 수 있고, 이에 따라 도 3에 도시된 루프(416)에 의해 나타낸 것처럼 기판들을 4 연속적으로 처리할 수 있다. 선택적으로, 에칭된 기판의 열 처리 프로세스가 로드 락 챔버(122) 이외의 플랫폼(104) 영역에서 수행되는 실시예에서, 에칭된 기판은 단계(408)의 종료 이후에, 로드 락 챔버(122) 또는 처리 챔버들(132, 128, 120) 중 하나로 이동된다.
따라서, 본 발명은 할로겐 및/또는 할로겐-함유 잔류물들을 기판으로부터 제거하기 위한 방법 및 장치를 제공한다. 방법 및 장치는 방출된 할로겐들에 의한 처리 시스템의 부식 및 오염을 방지하면서 기판상에 증착된 금속 막들의 노출된 부분들의 부식 및 기판 오염을 바람직하게 방지하고, 이에 따라 생산성과 처리 수율을 향상시킬 수 있다.
전술한 상세한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들과 추가적인 실시예들은 그 기본 범주를 벗어남이 없이 안출될 수 있고, 그 범주는 이하의 청구범위에 의해 결정된다.
도 1은 본 발명의 처리 시스템의 일 실시예의 개념도를 도시한다.
도 2는 도 1의 처리 시스템의 로드 락 챔버의 단면도를 도시한다.
도 3은 도 1의 처리 시스템의 전달 챔버의 부분 단면도를 도시한다.
도 4는 본 발명의 일 실시예에 따라 기판상의 할로겐-함유 잔류물들을 제거하기 위한 방법을 나타내는 프로세스 흐름도를 도시한다.

Claims (26)

  1. 기판으로부터 휘발성 잔류물들을 제거하기 위한 방법으로서,
    진공 기밀 플랫폼을 갖는 처리 시스템을 제공하는 단계;
    할로겐을 포함하는 화학제로 상기 플랫폼의 처리 챔버에서 기판을 처리(processing)하는 단계; 및
    상기 처리된 기판으로부터 휘발성 잔류물들을 방출시키기 위해 상기 플랫폼에서 상기 처리된(processed) 기판을 처리(treating)하는 단계
    를 포함하는 휘발성 잔류물들의 제거 방법.
  2. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 플랫폼의 로드 락 챔버에 있는 동안 상기 처리된 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  3. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 플랫폼에 배치된 로봇의 블레이드(blade)상에서 상기 처리된 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  4. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 처리 챔버에 결합된 운반(transfer) 챔버에서 상기 처리된 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  5. 제 1 항에 있어서,
    상기 기판을 처리하는 단계는 수소 브롬화물을 포함하는 할로겐 함유 가스들에 상기 기판을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  6. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 약 200℃ 내지 약 500℃의 온도로 상기 처리된 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  7. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 처리 시스템의 로드 락 챔버에서 O2, O3, H2O, 알칸, 알켄 및 H2 중 하나 이상으로부터 선택된 가스에 상기 처리된 기판을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  8. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 기판을 가열하면서 상기 처리 시스템의 로드 락 챔버에서 상기 처리된 기판을 O3에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  9. 제 7 항에 있어서,
    상기 처리된 기판을 노출시키는 단계는 약 5초 내지 약 120초에서 상기 처리된 기판을 가스에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  10. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 기판을 가열하면서 약 5Torr 내지 약 300Torr의 압력을 유지시키는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  11. 제 1 항에 있어서,
    상기 처리된 기판을 처리하는 단계는 상기 기판을 가열하면서 상기 기판을 통과하는 신호의 변화를 감지하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  12. 제 11 항에 있어서,
    상기 신호의 변화를 감지하는 단계는 상기 신호의 변화에 상관되는 기판 온도를 결정하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  13. 제 12 항에 있어서,
    미리 결정된 온도에 도달할 때 상기 기판의 가열을 종료하는 단계를 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  14. 제 1 항에 있어서,
    상기 기판을 처리하는 단계는 수소 브롬화물(HBr), 염소(Cl2), 및 탄소 테트라플루오라이드(CF4) 중 하나 이상을 이용하여 상기 기판을 처리하는 단계를 더 포함하는 것을 특징으로 하는 휘발성 잔류물들의 제거 방법.
  15. 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법으로서,
    처리 챔버 및 처리 챔버 외부에 배치된 기판 히터를 갖는 처리 시스템을 제 공하는 단계;
    할로겐을 포함하는 화학제로 상기 처리 챔버에서 기판을 에칭하는 단계;
    상기 기판으로부터 휘발성 잔류물들을 방출시키기 위해 상기 처리 시스템에 있는 동안 상기 기판 히터로 상기 에칭된 기판을 처리하는 단계; 및
    상기 기판을 가열하면서 기판 투과율의 변화를 검출하는 단계
    를 포함하는 할로겐-함유 잔류물들의 제거 방법.
  16. 제 15 항에 있어서,
    상기 기판 투과율의 변화를 검출하는 단계는 상기 가열 프로세스에 대한 엔드포인트를 결정하는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  17. 제 15 항에 있어서,
    상기 기판을 에칭하는 단계는 브롬화물을 포함하는 할로겐-함유 가스에 상기 기판을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  18. 제 15 항에 있어서,
    상기 에칭된 기판을 처리하는 단계는 O2, O3, H2O, 알켄, 알칸 및 H2 중 하 나 이상으로부터 선택된 가스에 상기 에칭된 기판을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  19. 제 15 항에 있어서,
    상기 에칭된 기판을 처리하는 단계는 상기 기판을 가열하면서 로드 락 챔버에서 상기 에칭된 기판을 O3에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  20. 제 15 항에 있어서,
    상기 에칭된 기판을 처리하는 단계는 상기 기판을 IR 광에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  21. 제 20 항에 있어서,
    상기 에칭된 기판을 처리하는 단계는 상기 기판을 통해 투과되는 IR 광의 변화를 감지하는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  22. 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법으로서,
    하나 이상의 처리 챔버, 및 그 내부에서 기판을 가열하도록 구성된 챔버를 갖는 처리 시스템을 제공하는 단계;
    브롬화물을 포함하는 화학제로 상기 처리 시스템에서 기판을 에칭하는 단계;
    상기 기판을 가열하도록 구성된 챔버에서 상기 에칭된 기판을 처리하는 단계; 및
    가열 동안 상기 에칭된 기판을 O3에 노출시키는 단계
    를 포함하는 할로겐-함유 잔류물들의 제거 방법.
  23. 제 22 항에 있어서,
    상기 기판을 가열하면서 상기 에칭된 기판을 광에 노출시키는 단계; 및
    상기 기판을 통해 투과하는 광의 변화들을 모니터링함으로써 상기 기판의 온도를 결정하는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  24. 제 23 항에 있어서,
    상기 광은 가열 램프의 레이저 또는 광대역 광원 중 하나 이상에 의해 생성되는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  25. 제 22 항에 있어서,
    상기 에칭된 기판을 처리하는 단계는 운반 챔버에서 상기 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
  26. 제 22 항에 있어서,
    상기 에칭된 기판을 처리하는 단계는 로드 락 챔버에서 상기 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 할로겐-함유 잔류물들의 제거 방법.
KR1020070107670A 2006-10-26 2007-10-25 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법 KR101010419B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/553,132 2006-10-26
US11/553,132 US7655571B2 (en) 2006-10-26 2006-10-26 Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US11/676,161 US7846845B2 (en) 2006-10-26 2007-02-16 Integrated method for removal of halogen residues from etched substrates in a processing system
US11/676,161 2007-02-16

Publications (2)

Publication Number Publication Date
KR20080037565A true KR20080037565A (ko) 2008-04-30
KR101010419B1 KR101010419B1 (ko) 2011-01-21

Family

ID=38969782

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070107670A KR101010419B1 (ko) 2006-10-26 2007-10-25 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법

Country Status (7)

Country Link
US (1) US7846845B2 (ko)
EP (1) EP1916703A3 (ko)
JP (1) JP2008109136A (ko)
KR (1) KR101010419B1 (ko)
CN (1) CN102243989B (ko)
SG (2) SG176425A1 (ko)
TW (1) TWI348735B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101132568B1 (ko) * 2010-05-06 2012-04-05 주식회사 테스 흄 발생없이 패턴을 형성하는 방법
KR20190032030A (ko) * 2017-09-19 2019-03-27 무진전자 주식회사 기판 처리 방법 및 장치
WO2019059621A1 (ko) * 2017-09-19 2019-03-28 무진전자 주식회사 인시튜 건식 세정 방법 및 장치

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2668551T3 (es) 2005-09-26 2018-05-18 Lifecell Corporation Composición seca de plaquetas
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
JP4952375B2 (ja) * 2007-05-23 2012-06-13 株式会社明電舎 レジスト除去方法及びその装置
JP5217951B2 (ja) * 2007-12-04 2013-06-19 株式会社明電舎 レジスト除去方法及びその装置
KR100912965B1 (ko) * 2007-12-24 2009-08-20 주식회사 하이닉스반도체 수직 채널 트랜지스터를 구비한 반도체 소자의 제조 방법
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8616224B2 (en) 2010-04-30 2013-12-31 Applied Materials, Inc. Methods and apparatus for providing a gas mixture to a pair of process chambers
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
CN103572253B (zh) * 2012-07-30 2016-02-10 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室和具有它的半导体设备
US20150211114A1 (en) * 2014-01-30 2015-07-30 Applied Materials, Inc. Bottom pump and purge and bottom ozone clean hardware to reduce fall-on particle defects
CN106298581B (zh) * 2015-05-13 2020-10-13 盛美半导体设备(上海)股份有限公司 光辐射加热刻蚀装置及方法
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
CN107919298B (zh) 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
KR20180098448A (ko) 2017-02-24 2018-09-04 삼성전자주식회사 잔류 가스 제거 장치 및 이를 포함하는 기판 처리 설비
US10903065B2 (en) * 2017-05-12 2021-01-26 Lam Research Corporation Halogen removal module and associated systems and methods
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
KR20200133126A (ko) * 2019-05-17 2020-11-26 삼성전자주식회사 소스 용기용 잔류물 제거 장치
KR102325772B1 (ko) 2019-10-28 2021-11-12 세메스 주식회사 기판처리장치
WO2021141806A1 (en) * 2020-01-07 2021-07-15 Lam Research Corporation Automated cleaning of robot arms of substrate processing systems
KR102603680B1 (ko) * 2020-12-28 2023-11-20 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
EP0416774B1 (en) * 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
GB2238868A (en) * 1989-11-22 1991-06-12 Res Corp Technologies Inc Silicon wafer temperature measurement by optical transmission monitoring.
JPH04268728A (ja) * 1991-02-25 1992-09-24 Hitachi Ltd エッチング方法および装置
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH05326477A (ja) * 1992-05-26 1993-12-10 Ulvac Japan Ltd 半導体基板表面のハロゲン除去方法
JP3263132B2 (ja) * 1992-07-09 2002-03-04 株式会社東芝 半導体装置の製造方法
JPH0685173A (ja) * 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5337207A (en) * 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
JPH06252143A (ja) * 1993-02-25 1994-09-09 Sumitomo Metal Ind Ltd Al合金膜の処理方法及びその装置
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
JP3080834B2 (ja) * 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
JPH08274072A (ja) * 1995-03-31 1996-10-18 Toshiba Corp 表面処理装置および表面処理方法
US6933182B1 (en) * 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
JPH0969525A (ja) * 1995-08-31 1997-03-11 Mitsubishi Electric Corp 金属配線の処理方法
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US6148072A (en) * 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
JPH1154721A (ja) * 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
KR100275754B1 (ko) * 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
JP2000012526A (ja) * 1998-06-25 2000-01-14 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
EP1001459B1 (en) * 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
JP2000286200A (ja) * 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6379574B1 (en) * 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6479801B1 (en) * 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
JP4808889B2 (ja) * 2000-01-05 2011-11-02 東京エレクトロン株式会社 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス
GB0000901D0 (en) 2000-01-14 2000-03-08 Isis Innovation Antiparasitic agent
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6184072B1 (en) 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (ko) * 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) * 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6902681B2 (en) * 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
JP2005109030A (ja) * 2003-09-29 2005-04-21 Toshiba Corp 電子デバイス製造方法
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
JP2006261157A (ja) * 2005-03-15 2006-09-28 Ricoh Co Ltd 半導体装置の製造方法及び半導体装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101132568B1 (ko) * 2010-05-06 2012-04-05 주식회사 테스 흄 발생없이 패턴을 형성하는 방법
KR20190032030A (ko) * 2017-09-19 2019-03-27 무진전자 주식회사 기판 처리 방법 및 장치
WO2019059620A1 (ko) * 2017-09-19 2019-03-28 무진전자 주식회사 기판 처리 방법 및 장치
WO2019059621A1 (ko) * 2017-09-19 2019-03-28 무진전자 주식회사 인시튜 건식 세정 방법 및 장치

Also Published As

Publication number Publication date
SG176425A1 (en) 2011-12-29
US7846845B2 (en) 2010-12-07
EP1916703A3 (en) 2009-05-06
US20080099040A1 (en) 2008-05-01
KR101010419B1 (ko) 2011-01-21
CN102243989A (zh) 2011-11-16
SG142270A1 (en) 2008-05-28
TW200837828A (en) 2008-09-16
TWI348735B (en) 2011-09-11
EP1916703A2 (en) 2008-04-30
CN102243989B (zh) 2015-05-20
JP2008109136A (ja) 2008-05-08

Similar Documents

Publication Publication Date Title
KR101010419B1 (ko) 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법
US7655571B2 (en) Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US8486194B2 (en) Apparatus for efficient removal of halogen residues from etched substrates
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7946759B2 (en) Substrate temperature measurement by infrared transmission
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US20060032833A1 (en) Encapsulation of post-etch halogenic residue
US20090139657A1 (en) Etch system
US20070134821A1 (en) Cluster tool for advanced front-end processing
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
US20040203251A1 (en) Method and apparatus for removing a halogen-containing residue
US20080138917A1 (en) Method and apparatus for processing a wafer
US20040229470A1 (en) Method for etching an aluminum layer using an amorphous carbon mask
US20110151590A1 (en) Apparatus and method for low-k dielectric repair
US20230238287A1 (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
E801 Decision on dismissal of amendment
S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee