KR20070075303A - pH 완충 수성 세정 조성물 및 포토레지스트 잔류물의제거방법 - Google Patents

pH 완충 수성 세정 조성물 및 포토레지스트 잔류물의제거방법 Download PDF

Info

Publication number
KR20070075303A
KR20070075303A KR1020070002380A KR20070002380A KR20070075303A KR 20070075303 A KR20070075303 A KR 20070075303A KR 1020070002380 A KR1020070002380 A KR 1020070002380A KR 20070002380 A KR20070002380 A KR 20070002380A KR 20070075303 A KR20070075303 A KR 20070075303A
Authority
KR
South Korea
Prior art keywords
composition
acid
fluoride
group
substrate
Prior art date
Application number
KR1020070002380A
Other languages
English (en)
Inventor
우 아이핑
존 로비토 로베르토
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070075303A publication Critical patent/KR20070075303A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/349Organic compounds containing sulfur additionally containing nitrogen atoms, e.g. nitro, nitroso, amino, imino, nitrilo, nitrile groups containing compounds or their derivatives or thio urea
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

잔류물 세정 조성물은 (a) 물; (b) 불화물; (c) 유기산과 염기를 포함하는 pH 완충계를 포함한다. 유기산은 아미노알킬설폰산 및/또는 아미노알킬카복실산이 될 수 있다. 염기는 아민 및/또는 4차 수산화 알킬암모늄이 될 수 있다. 조성물은 첨가되는 유기 용매가 실질적으로 없고 약 5 내지 약 12 범위의 pH를 갖는다. 기판으로부터 잔류물을 제거하는 방법은 잔류물을 세정 조성물과 접촉시키는 단계를 포함한다. 패턴을 정의하는 방법은 패턴을 포토레지스트를 통해 기판으로 식각하는 단계, 포토레지스트를 애싱하고 잔류물을 제공하는 데 충분한 온도로 패턴된 기판을 가열하는 단계, 및 잔류물을 세정 조성물과 접촉시킴으로써 잔류물을 제거하는 단계를 포함한다.
세정 조성물, pH, 완충 용액

Description

pH 완충 수성 세정 조성물 및 포토레지스트 잔류물의 제거방법{pH BUFFERED AQUEOUS CLEANING COMPOSITION AND METHOD FOR REMOVING PHOTORESIST RESIDUE}
본 발명은 일반적으로 세정 조성물 그리고 집적 회로 제작에서의 플라즈마 식각(etching) 및 애싱(ashing) 공정 후 반도체 소자 기판으로부터 포토레지스트 잔류물의 제거방법에 관한 것이다.
마이크로전자 구조물의 제작에는 많은 단계들이 관여된다. 집적 회로 제작의 생산 계획 내에서, 반도체의 서로 다른 표면의 선택적 식각이 종종 요구된다. 역사적으로, 재료의 선택적 제거를 위해 많은 수의 대단히 상이한 유형의 식각 공정들을 다양한 정도로 성공적으로 이용해 왔다. 더욱이, 마이크로전자 구조물 내에서 상이한 층들의 선택적 식각은 집적 회로 제작 공정에서 중요한 단계로 여겨진다.
반도체 및 반도체 마이크로회로의 생산에서는 기판 재료를 중합체 유기 물질로 코팅할 것이 빈번히 필요하다. 몇몇 기판 재료의 예는 알루미늄, 티타늄, 구리, 이산화실리콘 코팅 실리콘 웨이퍼(알루미늄, 티타늄 또는 구리의 금속성 원소를 선택적으로 보유) 등등을 포함한다. 전형적으로, 중합체 유기 물질은 포토레지스트 재료이다. 이는 노광 후 현상시에 식각 마스크를 형성하는 재료이다.
후속 공정 단계에서, 적어도 일부의 포토레지스트를 기판 표면으로부터 제거한다. 기판으로부터 포토레지스트를 제거하는 흔한 방법의 하나는 습식 화학법에 의한 것이다. 기판으로부터 포토레지스트를 제거하도록 조성된 습식 화학 조성물은 기판에 손상(예, 기판의 임의의 금속성 회로 표면의 부식, 용해 및/또는 무디게 함(dulling)을 주지 않고; 무기 기판의 화학적 변형; 및/또는 기판의 공격) 포토레지스트를 제거하여야 한다.
포토레지스트를 제거하는 또하나의 방법은 건식 애싱법으로서, 여기에서 포토레지스트는 수소와 같은 형성 가스 또는 산소를 이용한 플라즈마 애싱으로 제거한다. 잔류물이나 부산물은 포토레지스트 자체 또는 포토레지스트, 하부 기판 및/또는 식각 기체의 조합이 될 수 있다. 이들 잔류물 또는 부산물은 측벽 중합체, 베일(veil) 또는 담(fence)으로 종종 지칭된다.
비아(via), 금속선 및 트렌치(trench) 형성 동안 패턴 전사(transfer)를 위한 공정으로서 반응성 이온 식각(RIE)이 점점 더 선택되고 있다. RIE 공정은 잔류물 또는 복잡한 혼합물을 전형적으로 남기며, 이는 재스퍼터링된 산화물 재료, 포토레지스트로부터의 유기 재료, 및/또는 비아, 금속선 및/또는 트렌치 구조물을 리소그래피적으로(lithographically) 정의하는 데 이용하는 안티반사 코팅 재료를 포함할 수 있다.
종래 기술은 반도체 제조 공정으로부터 발생하는 잔류물과 부산물을 제거하기 위해 설계된 다양한 세정 조성물을 교시한다. 그러나, 당대의 반도체 재료 세정 에 한때 적합하였던 조성물들은 유기실리카 유리(OSG) 유전물질 및 기타 저-k 유전물질과 같은 보다 최근에 개발된 재료들에 이용하기에는 그만큼 적합하지 않다.
예를 들어, 미국특허 제5,698,503호(Ward 등), 제5,792,274호(Tanabe 등), 제5,905,063호(Tanabe 등), 제5,962,385호(Maruyama 등), 제6,235,693호(Cheng 등), 제6,248,704호(Small 등), 제6,638,899호(Wakiya 등), 제6,677,286호(Rovito 등), 제6,773,873호(Seijo 등), 제6,821,352호(Rovito 등) 및 제6,828,289호(Peters 등) 및 미국 특허출원 공개 제2004/0016904호(Baum 등)는 유기 용매를 포함하는 세정 조성물을 개시한다. 유기 용매는 유기 및 수소 치환기와 반응하여 화학적으로 변형된 유전 재료를 생성하는 경우 몇몇 저-k 유전물질에 이용하기 부적합할 수 있다.
미국특허 제6,197,733호는 물, 불화 암모늄 화합물, 그리고 양이온기가 암모늄 염이고 음이온기가 카복실레이트인 양쪽성 계면활성제를 포함하는 세정 조성물을 개시한다. 이 특허는 조성물의 pH에 관련해서는 어떠한 언급도 하고 있지 않다.
세정 조성물의 pH는 잔류물 등의 제거의 효과성 및 세정하는 기판과의 적합성(compatibility)에 있어서 중요한 인자이다. 강산 또는 강염기성 수성 조성의 존재하에, 저-k 유전물질의 Si-O 및 Si-H 결합은 OH기로 변환되어 저-k 유전물질의 유전상수를 증가시킬 수 있다. 더욱이, 불산을 함유하는 강산성 세정 조성물은 알루미늄, 구리를 함유하는 알루미늄 합금, 구리 또는 탄소 도핑된 산화물에 이용하기에 그다지 적합하지 않다. 그러므로, pH를 원하는 범위 내로 유지하기 위해 세정 조성물 내 완충제의 사용이 제안되어 왔다.
예를 들어, 미국 특허출원 공개 제2004/0266637호(Rovito 등)는 7.0 초과 내지 약 11.0의 pH를 갖는 수성 완충 불화물 함유 조성물을 개시한다. 완충 조성물은 연장된 수명을 갖는다고 언급되는데, 왜냐하면 산화물 및 금속 식각 속도와 같은 pH 의존성 속성들은 pH가 안정하게 유지되는 한 안정하기 때문이다.
Air Products and Chemicals, Inc.에 양도된 미국 특허출원 번호 제11/050,562호는 세정 조성물 및 잔류물의 제거방법을 개시하는데, 여기에서 조성물은 약 2 내지 약 9 범위의 pH를 가지고, 또한 이 조성물은 유기산 그리고 10:1 내지 1:10 범위의 산 대 염기 몰비로 이 유기산의 짝염기; 불화물 및 물을 포함하고, 단 이 조성물은 첨가되는 유기 용매가 실질적으로 없다.
본 명세서에서 인용한 모든 문헌들은 그 전체가 참조에 의해 본 명세서에 편입된다.
지금까지의 발전에도 불구하고, 예컨대 잔류 포토레지스트 및/또는 예컨대 선택적 식각 및/또는 애싱으로부터 발생하는 잔류물과 같은 공정 잔류물과 같은 잔류물을 제거할 수 있는 선택적 세정 조성물 및 방법을 제공하는 것이 요구된다. 더욱이, 포토레지스트 및/또는 식각 후/애싱 잔류물과 같은 잔류물을 제거할 수 있고, 역시 세정 조성물에 노출될 수 있는 금속, 고 유전상수 재료(본 명세서에서 "고-k" 유전물질로 지칭함), 실리콘, 실리사이드 및/또는 증착된 산화물과 같은 저 유전상수 재료(본 명세서에서 "저-k" 유전물질로 지칭함)를 포함한 레벨간(interlevel) 유전 재료에 비해 잔류물에 대해 높은 선택성을 나타내는 선택적 세정 조성물 및 방법을 제공하는 것이 요구된다. 또한, HSQ, MSQ, FOx, 블랙 다이아몬드 및 TEOS(테트라에틸실리케이트)와 같은 민감한 저-k 박막에 적합성을 갖고 그에 대해 이용할 수 있는 조성물을 제공하는 것이 요구된다.
발명의 개요
따라서, 본 발명의 제1양태는 기판으로부터 잔류물을 제거하기 위한 조성물로서, (a) 물; (b) 하나 이상의 불화물; 및 (c) (i) 아미노알킬설폰산 및 아미노알킬카복실산으로 이루어지는 군으로부터 선택되는 하나 이상의 유기산; 및 (ii) 아민 및 4차 수산화 알킬암모늄으로 이루어지는 군으로부터 선택되는 하나 이상의 염기를 포함하는 pH 완충계를 포함하고, 첨가되는 유기 용매가 실질적으로 없으며 약 5 내지 약 12 범위의 pH를 갖는 조성물을 제공한다.
본 발명의 제2양태는 기판으로부터 잔류물을 제거하는 데 효과적인 온도와 시간으로 잔류물을 본 발명의 조성물과 접촉시키는 단계를 포함하는, 기판으로부터 잔류물을 제거하는 방법을 제공한다.
본 발명의 제3양태는 기판의 적어도 일부에 포토레지스트를 코팅하는 단계; 포토레지스트상에 패턴을 리소그라피적으로 정의하는 단계; 상기 기판의 적어도 일부상에 상기 패턴을 전사하는 단계; 상기 패턴을 기판에 식각하여 패턴화된 기판을 형성하는 단계; 상기 포토레지스트를 애싱하여 잔류물을 제공하는 단계; 및 본 발명의 조성물과 상기 잔류물을 접촉시킴으로써 상기 잔류물을 제거하는 단계를 포함하는, 패턴을 정의하는 방법을 제공한다.
발명의 자세한 설명
본 명세서에서는 기판으로부터 잔류물을 선택적으로 제거하기 위한 조성물 및 이를 포함하는 방법을 개시한다. 본 명세서에서 개시하는 조성물은 역시 세정물에 노출될 수 있는 기판의 구조적 재료를 공격(어떠한 원하지 않는 정도로든)하지 않고 기판으로부터 공정 잔류물과 같은 잔류물을 선택적으로 제거할 수 있다.
본 명세서에서 사용된 용어 "잔류물"은 기판상에 존재하는 바람직하지 않은 재료를 일컫는다. 본 발명으로 제거할 수 있는 잔류물은 다음을 포함하나, 이에 한정되지는 않는다: 노출 및 애싱된 포토레지스트 재료와 같은 유기 화합물; 애싱된 포토레지스트 잔류물; UV 또는 X-선 경화 포토레지스트; C-F 함유 중합체; 저 및 고 분자량 중합체 및 기타 유기 식각 잔류물; 금속 산화물과 같은 무기 화합물; 화학 기계적 평탄화(CMP) 슬러리로부터의 세라믹 입자 및 기타 무기 식각 잔류물; 유기금속 잔류물 및 금속 유기 화합물과 같은 금속 함유 화합물; 이온 및 중성, 경 및 중 무기(금속) 화학종; 수분; 및 평탄화 및 식각 공정과 같은 공정으로 생성되는 입자를 포함한 불용성 재료. 한 특정 구현예에서, 제거하는 잔류물은 반응성 이온 식각 및 애싱에 의해 생성되는 것과 같은 공정 잔류물이다.
본 발명은 다양한 기판으로부터의 잔류물 제거에 적합하다. 적합한 기판은 다음을 포함하나, 이에 한정되지는 않는다: 금속, 실리콘, 실리케이트 및/또는 레벨간 유전 재료, 예를 들어 증착된 산화 실리콘 및 HSQ, MSQ, FOx, TEOS 및 스핀-온 글라스와 같은 유도체화된(derivatized) 실리콘 산화물, 및/또는 하프늄 실리케 이트, 산화 하프늄, 바륨 스트론튬 티타늄(BST), Ta2O5, 및 TiO2와 같은 고-k 재료. 적합한 기판 금속은 구리, 구리 합금, 티타늄, 질화 티타늄, 탄탈륨, 질화 탄탈륨, 텅스텐 및 티타늄/텅스텐을 포함하나, 이에 한정되지는 않는다.
본 발명의 조성물의 특이성(specificity) 때문에, 본 발명은 제거할 잔류물뿐 아니라 기판(금속, 실리콘, 실리사이드, 레벨간 유전 재료, 고-k 재료 및/또는 저-k 재료와 같은 기판의 구성성분을 포함)에 조성물이 접촉하는 응용에 특히 유용하다. 또한, 본 명세서에서 개시하는 조성물은 산화 실리콘과 같은 몇몇 유전 재료의 최소 식각 속도를 나타낼 수 있다.
본 명세서에서 개시하는 조성물은 물, 불화물 및 유기산과 염기를 포함하는 완충 용액을 포함한다. 어떤 구현예에서, 조성물은 첨가되는 유기 용매가 실질적으로 없거나, 이를 2 중량% 이하, 또는 1 중량% 이하로 함유한다. 어떤 구현예에서, 조성물은 약 5 내지 약 12 범위의 pH로 조정되고, 애싱된 포토레지스트 및/또는 공정 잔류물 제거용 조성물에 전형적으로 이용하는 부식 억제제 및 기타 첨가제를 선택적으로 포함한다. 한 특정 구현예에서, 조성물에는 5 내지 12 범위의 pH의 조성물을 얻기 위해 필요한 양의 완충 용액; 물 65 중량% 이상; 불화물 0.1 내지 5 중량%; 및 선택적인 부식 억제제 15 중량% 이하가 포함된다.
앞서 언급한 것처럼, 본 명세서에서 개시하는 조성물은 완충 용액을 포함한다. 본 명세서에서 사용된 용어 "완충 용액"은 산 또는 염기를 조성물에 소량 첨가하여 발생하는 pH 변화에 저항하는 용액이다. 완충 용액은 본 명세서에서 개시하는 조성물에 포함시에 예컨대 텅스텐, 구리, 티타늄 등과 같은 민감성 금속의 부식을 최소화하도록 조정된 pH를 갖는 완충 조성물을 제공한다. 완충 용액은 조성물에 대해 원하는 pH 범위를 얻기 위해 필요한 양으로 첨가한다. 완충 용액의 본 명세서에서 개시하는 조성물에의 첨가는 물에 의한 희석 또는 염기 또는 산에 의한 오염에 기인한 pH 요동을 방지한다.
하나 이상의 염기에 대한 하나 이상의 유기산의 노말비(즉 당량/몰 비)는 10:1 내지 1:10, 또는 3:1 내지 1:3, 또는 실질적으로 1:1이다. 완충 용액의 비는 조성물의 원하는 pH 범위를 얻기 위해 필요한 만큼으로 조정한다. 완충액은 약산으로 흔히 여겨지며, 산 또는 염기에 대한 최광의 완충 범위는 약산기의 pKa의 어느 방향으로든 약 1 pH 단위이다.
완충 용액은 아미노알킬설폰산 및 아미노알킬카복실산으로 이루어지는 군으로부터 선택되는 하나 이상의 유기산을 함유한다. 유기산은 바람직하게는 약 6 내지 약 11의 pKa를 갖는다. 아미노알킬설폰산은 화학식 1로 표현된다:
Figure 112007002067983-PAT00001
여기에서 R은 아미노알킬기로서 1차, 2차 및 3차 아미노알킬기를 포함하나 이에 한정되지는 않고, 아미노알킬기 R의 적합한 알킬기는 직쇄 알킬, 분지쇄 알 킬, 사이클릭 알킬, 헤테로사이클릭 알킬, 포화 알킬, 불포화 알킬, 알칸, 알키엔(alkyenes), 알콜, 에터, 알데하이드, 케톤, 카복실산, 에스터, 아마이드 등을 포함하나, 이에 한정되지는 않는다.
적합한 아미노알킬설폰산의 비제한적 예를 하기 표 1에 열거하였다.
아미노알킬설폰산
약어 정식명 pKa
MES 2-(N-모폴리노)에탄설폰산 6.1
ACES N-(2-아세트아미도)-2-아미노에탄설폰산 6.8
PIPES 1,4-피페라진다이에탄설폰산 6.8
MOPSO 3-(N-모폴리노)-2-하이드록시프로판설폰산 6.9
BES N,N-비스(2-하이드록시에틸)-2-아미노에탄설폰산 7.1
MOPS 3-(N-모폴리노)프로판설폰산 7.2
TES N-트리스(하이드록시에틸)메틸-2-아미노에탄설폰산 7.4
HEPES N-(2-하이드록시에틸)피페라진-N'-(에탄설폰산) 7.5
DIPSO 3-[N,N-비스(2-하이드록시에틸)아미노]-2-하이드록시프로판설폰산 7.6
MOBS 4-(N-모폴리노)부탄설폰산 7.6
TAPSO 3-[N-트리스(하이드록시메틸)메틸아미노]-2-하이드록시프로판설폰산 7.6
HEPPSO N-(2-하이드록시에틸)피페라진-N'-(2-하이드록시프로판설폰산) 7.8
POPSO 피페라진-N,N'-비스(2-하이드록시프로판설폰산) 7.8
EPPS N-(2-하이드록시에틸)피페라진-N'-(3-프로판설폰산) 8
HEPBS N-(2-하이드록시에틸)피페라진-N'-(4-부탄설폰산) 8.3
TAPS N-트리스(하이드록시메틸)메틸-3-아미노프로판설폰산 8.4
TABS N-트리스(하이드록시메틸)메틸-4-아미노부탄설폰산 8.9
AMPSO 3-[(1,1-다이메틸-2-하이드록시에틸)아미노]-2-하이드록시프로판설폰산 9
CHES 2-(N-사이클로헥실아미노)에탄설폰산 9.3
CAPSO 3-(사이클로헥실아미노)-2-하이드록시-1-프로판설폰산 9.6
CAPS 3-(사이클로헥실아미노)-1-프로판설폰산 10.4
CABS 4-(사이클로헥실아미노)-1-부탄설폰산 10.7
아미노알킬카복실산은 화학식 2로 표현된다:
Figure 112007002067983-PAT00002
여기에서 R은 아미노알킬기로서 1차, 2차 및 3차 아미노알킬기를 포함하나 이에 한정되지는 않고, 아미노알킬기 R의 적합한 알킬기는 직쇄 알킬, 분지쇄 알킬, 사이클릭 알킬, 헤테로사이클릭 알킬, 포화 알킬, 불포화 알킬, 알칸, 알키엔(alkyenes), 알콜, 에터, 설파이드, 싸이올, 알데하이드, 케톤, 카복실산, 에스터, 아마이드 등을 포함하나, 이에 한정되지는 않는다.
적합한 아미노알킬카복실산의 비제한적 예를 하기 표 2에 열거하였다.
아미노알킬카복실산
이름 pK1 pK2 pK3
글리신 2.35 9.78
β-알라닌 3.55 10.24
L-세린 2.19 9.21
L-시스테인 1.5 8.7
L-아스파라긴 2.1 8.8
L-알라닌 2.34 9.87
L-글루타민 2.17 9.13
L-아이소류신 2.32 9.76
L-류신 2.33 9.74
L-메티오닌 2.13 9.27
L-페닐알라닌 2.2 9.31
L-프롤린 1.95 10.64
L-트레오닌 2.09 9.1
L-트립토판 2.46 9.41
L-티로신 2.2 9.11 10.1
L-발린 2.29 9.74
L-아스파르트산 1.99 3.9 9.9
L-글루탐산 2.13 4.31 9.67
L-아르기닌 1.82 8.99 12.5
L-히스티딘 1.8 6.04 9.33
L-라이신 2.16 9.06 10.54
어떤 구현예에서, 완충 용액 내 유기산은 부식 억제제 및/또는 킬레이트제로서 조성물 내에 존재할 수도 있다.
조성물의 완충액에 이용하기에 바람직한 염기는 아민 및 수산화 4차 알킬암모늄을 포함한다. 짝염기의 추가 예는 하이드록실아민, 유기 아민, 예컨대 1차, 2차 또는 3차 지방족 아민, 지방족 사이클릭 아민, 방향족 아민 및 헤테로사이클릭 아민, 암모니아 수용액, 및 저급 알킬 수산화 4차 암모늄을 포함한다. 하이드록실아민의 구체적 예는 하이드록실아민(NH2OH), N-메틸하이드록실아민, N,N-다이메틸하이드록실아민 및 N,N-다이에틸하이드록실아민을 포함한다. 1차 지방족 아민의 구체적 예는 모노에탄올아민, 에틸렌다이아민 및 2-(2-아미노에틸아미노)에탄올을 포함한다. 2차 지방족 아민의 구체적 예는 다이에탄올아민, N-메틸아미노에탄올, 다이프로필아민 및 2-에틸아미노에탄올을 포함한다. 3차 지방족 아민의 구체적 예는 다이메틸아미노에탄올 및 에틸다이에탄올아민을 포함한다. 지방족 사이클릭 아민의 구체적 예는 사이클로헥실아민 및 다이사이클로헥실아민을 포함한다. 지방족 아민의 구체적 예는 벤질아민, 다이벤질아민 및 N-메틸벤질아민을 포함한다. 헤테로사이클릭 아민의 구체적 예는 피롤, 피롤리딘, 피롤리돈, 피리딘, 모폴린, 피라진, 피페리딘, N-하이드록시에틸피페리딘, 옥사졸 및 싸이아졸을 포함한다. 예시적인 수산화 4차 암모늄은 식 [N-R1R2R3R4]+OH-을 갖는 화합물이 될 수 있고, 여기에서 R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 하이드록시알킬기, 및 이들의 조합이다. 본 명세서에서 사용된 용어 "알킬"은 1 내지 20개의 탄소 원자, 또는 1 내지 8개의 탄소 원자 또는 1 내지 4개의 탄소 원자의 직쇄 또는 분지쇄 미치환 탄화수소기를 지칭한다. 적합한 알킬기의 예는 메틸, 에틸, 프로필, 아이소프로필, 부틸, 및 tert-부틸을 포함한다. "저급 알킬"이라는 표현은 1 내지 4개의 탄소 원자의 알킬기를 지칭한다. 본 명세서에서 사용된 용어 "하이드록시알킬"은 1 내지 20개의 탄소 원자, 또는 1 내지 8개의 탄소 원자 또는 1 내지 4개의 탄소 원자의 직쇄 또는 분지쇄 미치환 하이드록실기 함유 탄화수소기를 지칭한다. 적합한 하이드록실알킬기의 예는 하이드록실에틸 및 하이드록시프로필을 포함한다. 적합한 수산화 4차 암모늄 화합물은 수산화 테트라메틸암모늄(TMAH), 수산화 테트라에틸암모늄, 수산화 테트라부틸암모늄(TBAH), 수산화 테트라프로필암모늄, 수산화 트리메틸에틸암모늄, 수산화 (2-하이드록시에틸)트리메틸암모늄, 수산화 (2-하이드록시에틸)트리에틸암모늄, 수산화 (2-하이드록시에틸)트리프로필암모늄, 수산화 (1-하이드록시프로필)트리메틸암모늄, 수산화 에틸트리메틸암모늄, 수산화 다이에틸다이메틸암모늄 및 수산화 벤질트리메틸암모늄을 포함한다. 이들 염기 가운데, 암모니아 수용액, 모노에탄올아민, N-메틸아미노에탄올, TMAH 및 TBAH가 입수용이성과 안전성 관점에서 바람직하다. 염기는 단독으로 또는 다른 것과 조합하여 사용할 수 있다.
완충액은 pH가 약 5 내지 약 12, 또는 약 6 내지 약 11 범위가 되도록 제공한다.
불화물이 본 명세서에서 기술하는 조성물 내에 존재한다. 불화물 함유 화합물은 일반식 R1R2R3R4NF의 화합물을 포함하며, 여기에서 R1, R2, R3 및 R4는 독립적으로 수소, 알콜기, 알콕시기, 알킬기, 또는 이들의 조합이다. 이러한 화합물의 예는 불화 암모늄, 불화 테트라메틸암모늄(TMAF), 불화 테트라에틸암모늄, 불화 테트라부틸암모늄, 불화 콜린, 및 이들의 조합을 포함한다. 불화물의 추가 예는 불화붕산, 불산, 및 불화 콜린을 포함한다. 불화물은 0.01 중량% 내지 10 중량% 또는 0.1 중량% 내지 5 중량% 또는 0.2 중량% 내지 2.5 중량%의 양만큼 바람직하게 존재한다. 어떤 구현예에서, 불화물은 예컨대 TMAF와 같은 불화물 염의 형태로 조성물에 첨가된다. 이 구현예에서, TMAF는 20% 수용액으로서 상업적으로 입수가능할 수 있다. 어떤 구현예에서, 불화물은 예컨대 불화 암모늄과 같은 불화물 염의 형태로 조성물에 첨가된다. 이 구현예에서, 불화 암모늄은 40% 수용액으로서 상업적으로 입수가능할 수 있다.
앞서 언급한 것처럼, 본 명세서에서 개시하는 조성물에는 물도 존재한다. 이는 예컨대 불화 암모늄 수용액 또는 완충 수용액과 같은 다른 요소의 성분으로서 우연히 존재할 수도 있고, 또는 별도로 첨가할 수도 있다. 물의 비제한적 예는 탈이온수, 초순수, 증류수, 이중 증류수, 또는 낮은 금속 함량의 탈이온수를 포함한다. 바람직하게는, 물은 약 50 중량% 이상, 또는 약 65 중량% 이상, 또는 약 82.5 중량% 이상의 양만큼 존재한다. 어떤 구현예에서, 물은 조성물의 65-99.7 중량%, 또는 조성물의 82.5-98.8 중량%를 구성한다.
본 발명이 개시하는 조성물은 약 15 중량% 이하, 또는 약 0.2 내지 약 10 중량%의 부식 억제제를 선택적으로 함유할 수도 있다. 유사한 응용에 대해 당업계에 공지된 어떠한 부식 억제제도 이용할 수 있고, 예를 들면 미국특허 제5,417,877호에 개시된 것이 있고, 이 문헌은 참조에 의해 본 명세서에 편입된다. 적합한 부식 억제제는 예컨대 유기산, 유기산 염, 페놀, 트리아졸, 하이드록실아민 또는 이의 산 염이 될 수 있다. 특정 부식 억제제의 예는 구연산, 안트라닐산, 갈산, 벤조산, 아이소프탈산, 말레산, 푸마르산, D,L-말산, 말론산, 프탈산, 무수 말레산, 무수 프탈산, 벤조트리아졸(BZT), 레조시놀, 카복시벤조트리아졸, 다이에틸하이드록실아민 및 이의 젖산 및 구연산 염, 등등을 포함한다. 이용할 수 있는 부식 억제제의 추가 예는 카테콜, 파이로갈롤, 및 갈산의 에스테르를 포함한다. 이용할 수 있는 특정 하이드록실아민은 다이에틸하이드록실아민 및 이의 젖산 및 구연산 염을 포함한다. 적합한 부식 억제제의 다른 예는 과당, 싸이오황산 암모늄, 글리신, 젖산, 테트라메틸구아니딘, 이미노다이아세트산, 및 다이메틸아세토아세트아마이드를 포함한다. 어떤 구현예에서, 부식 억제제는 약 4 내지 약 7 범위의 pH를 갖는 약산을 포함할 수 있다. 약산의 예는 트리하이드록시벤젠, 다이하이드록시벤젠, 및/또는 살리실하이드록삼산을 포함한다. 부식 억제제가 유기산인 구현예에서, 유기산은 완충 용액에 이용하는 것과 같을 수 있다. 어떤 구현예에서, 부식 억제제는 머캡토기 함유 화합물이며, 예컨대 2-머캡토-5-메틸벤즈이미다졸 및 2-머캡토싸이아졸린이나, 이에 한정되지는 않는다. 부식 억제제의 또다른 예는 화합물의 α 또는 β 위치의 한쪽에 하이드록실 및/또는 카복실기를 갖는 머캡토기 함유 화합물을 포함한다. 이러한 머캡토기 함유 화합물의 구체적 예는 3-머캡토-1,2-프로판다이올(싸이오글리세롤으로도 지칭), 3-(2-아미노페닐싸이오)-2-하이드록시머캡탄, 3-(2-하이드록시에틸싸이오)-2-하이드록시프로필머캡탄, 2-머캡토프로피온산, 3-머캡토프로피온산 및 이들의 조합을 포함한다.
조성물은 또한 다음 첨가제들 가운데 하나 이상을 포함할 수 있다: 계면활성제, 킬레이트제, 화학적 변형제(modifier), 염료, 살생제, 및 기타 첨가제. 첨가제(들)는 조성물의 pH 범위에 악영향을 주지 않는 정도로 첨가할 수 있다. 대표적인 첨가제의 몇몇 예는 아세틸렌 알콜 및 이의 유도체, 아세틸렌 다이올(비이온성 알콕실화 및/또는 자기 유화성(self-emulsifiable) 아세틸렌 다이올 계면활성제) 및 이의 유도체, 알콜, 4차 아민 및 다이아민, 아마이드(다이메틸 폼아마이드 및 다이메틸 아세트아마이드와 같은 비양성자성 용매를 포함), 알킬 알칸올아민(예컨대 다이에탄올에틸아민), 및 킬레이트제(예컨대 베타-다이케톤, 베타-케토이민, 카복실산, 말산 및 주석산 기반 에스터 및 다이에스터 및 이의 유도체), 및 3차 아민, 다이아민 및 트리아민을 포함한다. 어떤 구현예에서, 조성물에 완충 용액 내로 첨가될 수 있는 카복실산은 조성물 내에서 킬레이트제로도 작용할 수 있다.
본 명세서에서 기술하는 조성물로 제거하는 재료는 측벽 중합체, 베일, 담, 식각 잔류물, 애싱 잔류물 등등과 같은 이름으로 당업계에 공지된 애싱된 포토레지스트 및 공정 잔류물을 포함한다. 어떤 바람직한 구현예에서, 포토레지스트는 노출, 현상, 식각 및 애싱된 뒤 본 명세서에서 기술하는 조성물과 접촉하게 된다. 본 명세서에서 개시하는 조성물은 HSQ(FOx), MSQ, SiLK 등과 같은 저-k 박막과 적합성을 갖는다. 이 조성은 양성 및 음성 포토레지스트를 포함한 애싱된 포토레지스트 및 유기 잔류물, 유기금속 잔류물, 무기 잔류물, 금속성 산화물과 같은 플라즈마 식각 잔류물, 또는 포토레지스트 복합체(complex)를 저온에서 텅스텐, 구리, 티타늄 함유 기판을 매우 적게 부식하면서 스트립핑하는 데에도 효과적이다. 더욱이, 이 조성물은 다양한 고 유전상수 재료와도 적합성을 갖는다.
제조 공정 동안, 포토레지스트 층을 기판상에 코팅한다. 사진 식각(photolithography) 공정을 이용하여, 포토레지스트 층상에 패턴을 정의한다. 이 패턴된 포토레지스트 층을 플라즈마 식각 처리하여 패턴을 기판으로 전사한다. 식각 잔류물이 식각 단계에서 생성된다. 다음으로, 패턴화된 기판을 애싱하여 잔류물이 형성된다. 기판을 애싱하면, 세정할 주 잔류물은 식각제(etchant) 잔류물이다.
본 명세서에서 기술하는 방법은 박막 또는 잔류물로서 존재하는 유기 또는 금속-유기 중합체, 무기 염, 산화물, 수산화물, 또는 이들의 복합체 또는 조합을 갖는 기판을, 기술한 조성물과 접촉시켜 수행할 수 있다. 실제 조건들, 예컨대 온도, 시간 등은 제거할 재료의 속성과 두께에 의해 좌우된다. 일반적으로, 20℃ 내지 80℃, 또는 20℃ 내지 60℃, 또는 20℃ 내지 40℃ 범위의 온도에서 조성물을 함유하는 용기와 기판을 접촉시키거나, 이 용기에 기판을 침지한다. 기판을 조성물에 노출하는 전형적인 시간은 예컨대 0.1 내지 60분, 또는 1 내지 30분, 또는 1 내지 15분의 범위가 될 수 있다. 조성물과의 접촉 뒤, 기판을 린스(rinse)하고 건조할 수 있다. 건조는 비활성 분위기하에서 전형적으로 이루어진다. 어떤 구현예에서, 탈이온수 린스 또는 다른 첨가제를 갖는 탈이온수를 함유하는 린스를 본 명세서에서 개시하는 조성물과 기판을 접촉시키기 전, 접촉시키는 동안, 및/또는 접촉시킨 후에 이용할 수 있다.
본 발명은 다음의 실시예를 참조하여 더욱 자세하게 예시할 것이나, 본 발명이 이에 한정되는 것으로 간주되지는 않는다는 것을 이해하여야 한다.
실시예에서 이용한 예시적 조성물은 탈이온수, 불화물, 유기산 및 염기를 조합하고, 모든 고체들이 용해할 때까지 성분들을 실온에서 용기 내에서 혼합함으로써 조제하였다. 얻은 조성물을 하기 표 3에 나타내었다.
예시적 조성물
조성물 번호 물 (중량%) 불화물 (중량%) 유기산 (중량%) 염기 (중량%) 부식 억제제 (중량%)
1 96.775 TMAF (0.4) MES (2.2) TMAH (0.625)
2 97.375 TMAF (0.4) HEPES (1.6) TMAH (0.625)
3 96.475 TMAF (0.4) DIPSO (2.5) TMAH (0.625)
4 91.9 TMAF (0.4) EPPS (6.45) TMAH (1.25)
5 96.825 TMAF (0.4) CHES (2.15) TMAH (0.625)
6 95.32 TMAF (0.4) CAPS (3.03) TMAH (1.25)
7 96.29 TMAF (0.4) 글리신 (2.06) TMAH (1.25)
8 95.85 TMAF (0.4) 글리신 (1.5) TMAH (1.25) 싸이오글리세롤(1.0)
9 96.52 TMAF (0.4) β-알라닌 (1.83) TMAH (1.25)
10 95.65 TMAF (0.4) β-알라닌 (1.7) TMAH (1.25) 싸이오글리세롤(1.0)
조성물 모두의 pH와 식각 속도를 시험하였다. pH는 주위 온도에서 5% 수용액을 이용하여 측정하였다. 결과를 하기 표 4에 나타내었다.
pH 및 식각 속도 데이터
조성물 번호 pH 식각 속도 (Å/분)
Cu TEOS JSR LEB-043
25℃ 40℃ 25℃ 40℃ 25℃ 40℃
1 6.44 <1 <1 nt <1 nt nt
2 7.83 1 1 nt <1 nt nt
3 7.93 2 3 nt <1 nt nt
4 7.88 2 3 nt <1 nt nt
5 9.68 <1 1 nt <1 nt nt
6 10.76 1 nt <1 nt <1 <1
7 9.35 50 nt <1 nt nt nt
8 9.73 1 1 nt <1 nt nt
9 10.13 83 nt <1 nt nt nt
10 10.01 1 1 nt <1 nt nt
nt: 시험하지 않음.
식각 속도는 구리, 도핑된 비밀집화 테트라에틸오쏘실리케이트(TEOS) 및 JSR LEB-043(다공성 메틸실세퀴옥세인(MSQ) 박막, JSR, Inc. 제공)을 함유하는 블랭킷 실리콘 웨이퍼에 대해 얻었다. 측정은 25℃ 및 40℃의 온도 간격에서 5, 10, 20, 40 및 60분의 노출에서 수행하였다. 두께 측정은 각 시간 간격에서 수행하고, 각 예시적 조성물의 결과에 대해 "최소 자승 적합법" 모델을 이용하여 그래프를 그렸다. 각 조성물의 "최소 자승 적합법" 모델의 계산된 기울기는 결과로 나타나는 식각 속도로서, 옹스트롬/분(Å/분)으로 나타낸다. 구리 식각 속도 또는 산화물 식각 속도 측정에서, 웨이퍼는 그 위에 증착된 두께를 아는 블랭킷 층을 구비하였다.
Cu 식각 속도의 경우, 웨이퍼의 최초 두께는 CDE ResMap 273 Four Point Probe를 이용하여 측정하였다. 최초 두께를 측정한 뒤, 시험 웨이퍼를 예시적 조성물에 담그었다. 5분 뒤, 시험 웨이퍼를 시험 용액으로부터 꺼내고, 탈이온수로 3분 동안 린스하고, 질소하에서 완전히 건조하였다. 각 웨이퍼의 두께를 측정하였고, 필요하다면 시험 웨이퍼에 대해 절차를 반복하였다.
TEOS 및 JSR LEB-043 식각 속도의 경우, 최초 두께는 FilmTek 2000 SE Spectroscopic Ellipsometer/Reflectomer를 이용하여 측정하였다. 대략 200ml의 시험 용액을 250ml 비이커에 넣고, 교반 및 필요한 경우 가열하여 특정 온도가 되게 하였다. 하나의 웨이퍼만을 용액을 담은 비이커에 넣은 경우 더미 웨이퍼를 비이커에 넣었다. 5분 뒤, 각 시험 웨이퍼를 탈이온수로 3분 동안 세척하고 질소하에서 건조하였다. 다음으로, 기판을 110℃의 온도에서 대략 10분 동안 베이킹(baking)하였다. 각 웨이퍼의 측정을 행하고 필요한 경우 절차를 반복하였다.
예시적 조성물이 실리콘 웨이퍼 시험 기판으로부터 잔류물을 제거하는 효과도를 시험하였다. 웨이퍼는 저-k, JSR LKD-5109 다공성 MSQ 박막(JSR, Inc. 제공), 질화 티타늄 장벽층, 구리 금속화 층, BARC 층, 포토레지스트 패턴을 가졌으며, 이 패턴은 플라즈마 식각 및 애싱 공정을 이용하여 식각 및 애싱하였다. 다음으로, 기판을 예시적 조성물에 담금으로써 처리하였다. 이 단계에서, 하나 이상의 시험 웨이퍼를 각 예시적 조성물 400ml를 담은 600ml 비이커에 넣었다. 600ml 비이커는 400rpm으로 회전하는 1인치의 교반 바(stir bar)를 더 포함하였다. 다음으로, 웨이퍼(들)가 안에 담긴 예시적 조성물을 특정 시간 동안 특정 온도에서 가열하였다. 예시적 조성물에 노출시킨 뒤, 웨이퍼(들)를 탈이온수로 린스하고 질소 기체로 건조하였다.
웨이퍼를 절개하여 모서리를 만들었고, 웨이퍼상의 다양한 소정의 부위에 대해 주사 전자 현미경(SEM)을 이용하여 조사하였다.
잔류물 제거 효능과 기판의 보존은 100,000배 배율의 SEM 현미경 사진을 육안으로 조사하여 그 등급을 매겼다. 결과를 하기 표 5에 나타내었다.
잔류물 제거 데이터
조성물 번호 시간 (분) 온도 (℃) 잔류물 제거 기판 손상
1 15 40 - -
2 15 40 + -
3 15 40 ++ -
4 15 40 - -
5 15 40 ++ -
6 15 40 ++ -
7 15 40 nt nt
8 15 40 ++ -
9 15 40 nt nt
10 15 40 ++ -
nt: 시험하지 않음.
기호 잔류물 제거 기판 손상
++ 실질적으로 전부 허용할 수 없을 정도로 심함
+ ~10% 내지 ~90% 실질적이지 않음
- 실질적으로 없음 실질적으로 없음(즉, 100,000배
배율에서 보이지 않는 손상, 또는
이 배율에서 허용 가능한 수준의
가시적 손상)
본 발명의 세정 조성물은 첨가되는 유기 용매가 실질적으로 없으며 특정 범위의 pH를 갖기 때문에, 잔류 포토레지스트 및 공정 잔류물을 높은 선택성을 가지고 제거할 수 있는 효과가 있다.

Claims (25)

  1. 기판으로부터 잔류물을 제거하기 위한 조성물로서,
    (a) 물;
    (b) 하나 이상의 불화물; 및
    (c) (i) 아미노알킬설폰산 및 아미노알킬카복실산으로 이루어지는 군으로부터 선택되는 하나 이상의 유기산; 및
    (ii) 아민 및 수산화 4차 알킬암모늄으로 이루어지는 군으로부터 선택되는 하나 이상의 염기를 포함하는 pH 완충계를 포함하고,
    첨가되는 유기 용매가 실질적으로 없으며 약 5 내지 약 12 범위의 pH를 갖는 조성물.
  2. 제1항에 있어서, 기판의 부식을 억제하는 데 적합한 하나 이상의 부식 억제제를 더 포함하는 조성물.
  3. 제2항에 있어서, 하나 이상의 부식 억제제는 구연산, 안트라닐산, 갈산, 벤조산, 말론산, 말레산, 푸마르산, D,L-말산, 아이소프탈산, 프탈산, 젖산, 무수 말레산, 무수 프탈산, 카테콜, 파이로갈롤, 갈산의 에스테르, 벤조트리아졸, 카복시벤조트리아졸, 과당, 싸이오황산 암모늄, 글리신, 테트라메틸구아니딘, 이미노다이아세트산, 다이메틸아세토아세트아마이드, 싸이오글리세롤, 트리하이드록시벤젠, 다이하이드록시벤젠, 살리실하이드록삼산, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 하나 이상의 성분인 조성물.
  4. 제1항에 있어서, 하나 이상의 부식 억제제는 싸이오글리세롤인 조성물.
  5. 제1항에 있어서, 하나 이상의 계면활성제를 더 포함하는 조성물.
  6. 제5항에 있어서, 하나 이상의 계면활성제는 아세틸렌 알콜, 이의 유도체, 아세틸렌 다이올 및 이의 유도체로 이루어지는 군으로부터 선택되는 하나 이상의 성분인 조성물.
  7. 제1항에 있어서, 하나 이상의 불화물은 일반식 R1R2R3R4NF의 조성을 가지며, 여기에서 R1, R2, R3 및 R4는 독립적으로 수소, 알콜기, 알콕시기, 알킬기 및 이들의 조합인 조성물.
  8. 제7항에 있어서, 하나 이상의 불화물은 불화 암모늄, 불화 테트라메틸암모늄, 불화 테트라에틸암모늄, 불화 테트라부틸암모늄, 불화 콜린, 및 이들의 조합으로부터 선택되는 성분인 조성물.
  9. 제1항에 있어서, 하나 이상의 불화물은 불화 테트라메틸암모늄인 조성물.
  10. 제1항에 있어서, 하나 이상의 유기산은 MES, HEPES, DIPSO, EPPS, CHES 및 CAPS로 이루어지는 군으로부터 선택되는 하나 이상의 성분인 조성물.
  11. 제1항에 있어서, 하나 이상의 유기산은 글리신 및 β-알라닌으로 이루어지는 군으로부터 선택되는 하나 이상의 성분인 조성물.
  12. 제1항에 있어서, 수산화 4차 암모늄 화합물은 일반식 [N-R1R2R3R4]+OH-을 갖는 화합물을 포함하고, 여기에서 R1, R2, R3 및 R4는 각각 독립적으로 알킬기, 하이드록시알킬기, 및 이들의 조합인 조성물.
  13. 제12항에 있어서, 수산화 4차 암모늄 화합물은 수산화 테트라메틸암모늄, 수산화 테트라에틸암모늄, 수산화 테트라프로필암모늄, 수산화 테트라부틸암모늄, 수산화 트리메틸에틸암모늄, 수산화 (2-하이드록시에틸)트리메틸암모늄, 수산화 (2-하이드록시에틸)트리에틸암모늄, 수산화 (2-하이드록시에틸)트리프로필암모늄, 수산화 (1-하이드록시프로필)트리메틸암모늄, 및 이들의 조합으로 이루어지는 군으로부터 선택되는 조성물.
  14. 제1항에 있어서, 하나 이상의 염기는 수산화 테트라메틸암모늄인 조성물.
  15. 제1항에 있어서, 하나 이상의 불화물은 불화 테트라메틸암모늄이고 하나 이상의 염기는 수산화 테트라메틸암모늄인 조성물.
  16. 제1항에 있어서, 하나 이상의 불화물은 불화 테트라메틸암모늄이고 하나 이상의 염기는 수산화 테트라메틸암모늄이고, 하나 이상의 유기산은 MES, HEPES, DIPSO, EPPS, CHES 및 CAPS로 이루어지는 군으로부터 선택되는 하나 이상의 성분을 포함하는 조성물.
  17. 제1항에 있어서, 하나 이상의 불화물은 불화 테트라메틸암모늄이고 하나 이상의 염기는 수산화 테트라메틸암모늄이고, 하나 이상의 유기산은 글리신 및 β-알라닌으로 이루어지는 군으로부터 선택되는 하나 이상의 성분을 포함하는 조성물.
  18. 제1항에 있어서, 물은 조성물의 65-99.7 중량%를 구성하고, 하나 이상의 유기산은 조성물의 0.1-20 중량%를 구성하고, 하나 이상의 염기는 조성물은 0.1-10 중량%를 구성하고, 불화물은 조성물의 0.1-5 중량%를 구성하는 조성물.
  19. 제18항에 있어서, 하나 이상의 염기에 대한 하나 이상의 유기산의 노말비는 10:1 내지 1:10인 조성물.
  20. 제1항에 있어서, 기판 손상을 실질적으로 피하도록 조성된 조성물.
  21. 기판으로부터 잔류물을 제거하는 데 효과적인 온도와 시간으로 잔류물을 조성물과 접촉시키는 단계를 포함하는, 기판으로부터 잔류물을 제거하는 방법에 있어서,
    상기 조성물은
    (a) 물;
    (b) 하나 이상의 불화물; 및
    (c) (i) 아미노알킬설폰산 및 아미노알킬카복실산으로 이루어지는 군으로부터 선택되는 하나 이상의 유기산; 및
    (ii) 아민 및 4차 수산화 알킬암모늄으로 이루어지는 군으로부터 선택되는 하나 이상의 염기를 포함하는 pH 완충계를 포함하고,
    첨가되는 유기 용매가 실질적으로 없으며 약 5 내지 약 12 범위의 pH를 갖는 것을 특징으로 하는 방법.
  22. 제21항에 있어서, 온도는 20℃ 내지 80℃이고 시간은 1 내지 30분인 방법.
  23. 제21항에 있어서, 기판상의 모든 잔류물은 기판을 실질적으로 손상하지 않고 제거되는 방법.
  24. 기판의 적어도 일부에 포토레지스트를 코팅하는 단계;
    포토레지스트상에 패턴을 리소그라피적으로 정의하는 단계;
    상기 기판의 적어도 일부상에 상기 패턴을 전사하는 단계;
    상기 패턴을 기판에 식각하여 패턴화된 기판을 형성하는 단계;
    상기 포토레지스트를 애싱(ashing)하여 잔류물을 제공하는 데 충분한 온도로 상기 패턴화된 기판을 가열하는 단계; 및
    (a) 물;
    (b) 하나 이상의 불화물; 및
    (c) (i) 아미노알킬설폰산 및 아미노알킬카복실산으로 이루어지는 군으로부터 선택되는 하나 이상의 유기산; 및
    (ii) 아민 및 4차 수산화 알킬암모늄으로 이루어지는 군으로부터 선택되는 하나 이상의 염기를 포함하는 pH 완충계를 포함하고,
    첨가되는 유기 용매가 실질적으로 없으며 약 5 내지 약 12 범위의 pH를 갖는 조성물과 상기 잔류물을 접촉시킴으로써 상기 잔류물을 제거하는 단계를 포함하는, 패턴을 정의하는 방법.
  25. 제24항에 있어서, 상기 패턴화된 기판상의 상기 잔류물은 상기 패턴화된 기판을 실질적으로 손상하지 않고 제거되는 방법.
KR1020070002380A 2006-01-12 2007-01-09 pH 완충 수성 세정 조성물 및 포토레지스트 잔류물의제거방법 KR20070075303A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/330815 2006-01-12
US11/330,815 US7534753B2 (en) 2006-01-12 2006-01-12 pH buffered aqueous cleaning composition and method for removing photoresist residue

Publications (1)

Publication Number Publication Date
KR20070075303A true KR20070075303A (ko) 2007-07-18

Family

ID=37983393

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070002380A KR20070075303A (ko) 2006-01-12 2007-01-09 pH 완충 수성 세정 조성물 및 포토레지스트 잔류물의제거방법

Country Status (9)

Country Link
US (1) US7534753B2 (ko)
EP (1) EP1808480B1 (ko)
JP (1) JP4473277B2 (ko)
KR (1) KR20070075303A (ko)
CN (1) CN101000469A (ko)
AT (1) ATE445003T1 (ko)
DE (1) DE602007002643D1 (ko)
SG (1) SG134245A1 (ko)
TW (1) TWI336729B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011008051A2 (ko) * 2009-07-17 2011-01-20 동우 화인켐 주식회사 구리 또는 구리합금용 레지스트 제거용 조성물
KR20180023086A (ko) * 2016-08-23 2018-03-07 오씨아이 주식회사 식각 후 식각 용액의 후처리 방법
KR20230046710A (ko) * 2021-09-30 2023-04-06 주식회사 케이씨텍 Cmp 후 세정액 조성물

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
KR100655647B1 (ko) * 2005-07-04 2006-12-08 삼성전자주식회사 반도체 기판용 세정액 조성물, 이의 제조 방법, 이를이용한 반도체 기판의 세정 방법 및 반도체 장치의 제조방법
US20080076076A1 (en) * 2006-09-22 2008-03-27 Texas Instruments Incorporated Rework methodology that preserves gate performance
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
TWI446400B (zh) 2007-10-05 2014-07-21 Schott Ag Fluorescent lamp with lamp cleaning method
US8357646B2 (en) 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
US8580656B2 (en) 2008-07-14 2013-11-12 Air Products And Chemicals, Inc. Process for inhibiting corrosion and removing contaminant from a surface during wafer dicing and composition useful therefor
WO2010042457A1 (en) * 2008-10-09 2010-04-15 Mallinckrodt Baker, Inc. Aqueous acidic formulations for copper oxide etch residue removal and prevention of copper electrodeposition
JP5288144B2 (ja) * 2008-12-25 2013-09-11 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物、積層金属配線基板のフォトレジスト剥離方法及び製造方法
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
KR101668126B1 (ko) * 2009-04-17 2016-10-20 나가세케무텍쿠스가부시키가이샤 포토레지스트 박리제 조성물 및 포토레지스트 박리 방법
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
TWI470119B (zh) * 2009-11-13 2015-01-21 Avantor Performance Mat Inc 用於氧化銅蝕刻殘留物之移除及避免銅電鍍之水相酸性調配物
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US9409252B2 (en) 2010-03-26 2016-08-09 Waters Technologies Corporation Chromatography apparatus having diffusion-bonded and surface-modified components
US8536106B2 (en) 2010-04-14 2013-09-17 Ecolab Usa Inc. Ferric hydroxycarboxylate as a builder
US8883701B2 (en) 2010-07-09 2014-11-11 Air Products And Chemicals, Inc. Method for wafer dicing and composition useful thereof
RU2578718C2 (ru) * 2010-07-19 2016-03-27 Басф Се Водные щелочные очищающие композиции и способы их применения
ES2541222T3 (es) * 2011-08-09 2015-07-16 Basf Se Composiciones alcalinas acuosas y procedimiento de tratamiento de la superficie de sustratos de silicio
DE102011088885A1 (de) * 2011-12-16 2013-06-20 Wacker Chemie Ag Siliconlöser
US20130302985A1 (en) * 2012-05-10 2013-11-14 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Method of removing residue during semiconductor device fabrication
CN102880017B (zh) * 2012-09-28 2014-07-23 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
JPWO2014168166A1 (ja) * 2013-04-10 2017-02-16 和光純薬工業株式会社 金属配線用基板洗浄剤および半導体基板の洗浄方法
CN103513522B (zh) * 2013-09-25 2018-06-01 青岛果子科技服务平台有限公司 半导体清洗组合物
WO2015116818A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
KR102427699B1 (ko) 2015-04-27 2022-08-01 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
JP6477407B2 (ja) * 2015-10-15 2019-03-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
EP3721297B1 (en) * 2017-12-08 2024-02-07 Henkel AG & Co. KGaA Photoresist stripper compostion
EP3761345A4 (en) * 2018-03-02 2021-04-28 Mitsubishi Gas Chemical Company, Inc. COMPOSITION WITH SUPPRESSED ALUMINUM DAMAGE AND PROCESS FOR MANUFACTURING A SEMICONDUCTOR SUBSTRATE THEREFORE
KR102579803B1 (ko) * 2018-07-06 2023-09-19 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
KR20200118368A (ko) * 2019-04-03 2020-10-15 조이풀니스 어드밴스드 케미칼 컴퍼니 리미티드 에칭 잔류물 제거를 위한 세정 조성물
KR20220058069A (ko) * 2020-10-30 2022-05-09 주식회사 이엔에프테크놀로지 세정제 조성물 및 이를 이용한 세정방법
KR20220061628A (ko) * 2020-11-06 2022-05-13 주식회사 케이씨텍 연마 입자 용해용 조성물 및 이를 이용한 세정 방법
CN114318353B (zh) * 2021-12-27 2023-12-05 广东红日星实业有限公司 一种除灰剂及其制备方法和应用
WO2023183316A1 (en) * 2022-03-23 2023-09-28 Entegris, Inc. Post cmp cleaning composition

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1690404A (en) 1926-03-01 1928-11-06 Frank C Dieckmann Combined dresser, wardrobe, bookcase, and rack
US3951827A (en) 1973-12-03 1976-04-20 Borg-Warner Corporation Composition and method for removing insoluble scale deposits from surfaces
JPH0750086B2 (ja) * 1989-09-13 1995-05-31 ダイソー株式会社 クロマトグラフ充填剤及びこれによる水溶性有機化合物の分離法
JP3274232B2 (ja) * 1993-06-01 2002-04-15 ディップソール株式会社 錫−ビスマス合金めっき浴及びそれを使用するめっき方法
JP3481020B2 (ja) * 1995-09-07 2003-12-22 ディップソール株式会社 Sn−Bi系合金めっき浴
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
EP0872870A3 (en) * 1997-04-14 1999-05-06 Hitachi Chemical Co., Ltd. Fluorescent pattern, process for preparing the same, organic alkali developing solution for forming the same, emulsion developing solution for forming the same and back plate for plasma display using the same
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
JP3606738B2 (ja) 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
SG77710A1 (en) 1998-09-09 2001-01-16 Tokuyama Corp Photoresist ashing residue cleaning agent
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
JP2000247903A (ja) * 1999-03-01 2000-09-12 Chugai Pharmaceut Co Ltd 長期安定化製剤
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
JP3410403B2 (ja) 1999-09-10 2003-05-26 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP2001100436A (ja) * 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
EP1138726B1 (en) 2000-03-27 2005-01-12 Shipley Company LLC Polymer remover
CN1218222C (zh) 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP4945857B2 (ja) * 2001-06-13 2012-06-06 Jsr株式会社 研磨パッド洗浄用組成物及び研磨パッド洗浄方法
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
JP2004099488A (ja) * 2002-09-06 2004-04-02 Wako Pure Chem Ind Ltd アミノアルキルスルホン酸の製造方法及びその塩の塩交換方法
TW200413522A (en) 2002-11-08 2004-08-01 Sumitomo Chemical Co Washing liquid for semiconductor substrate
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
EP1589082A4 (en) * 2003-01-29 2007-05-30 Asahi Glass Co Ltd COATING COMPOSITION, ANTI-REFLECTIVE FILM, PHOTORESIN, AND PATTERN FORMING METHOD USING THE SAME
SG129274A1 (en) 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US7300480B2 (en) * 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
ES2333597T3 (es) * 2004-03-25 2010-02-24 THE PROCTER &amp; GAMBLE COMPANY Composicion liquida acida para limpieza de superficies duras.
JP4369284B2 (ja) 2004-04-19 2009-11-18 東友ファインケム株式会社 レジスト剥離剤
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
US7682458B2 (en) 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7888302B2 (en) 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011008051A2 (ko) * 2009-07-17 2011-01-20 동우 화인켐 주식회사 구리 또는 구리합금용 레지스트 제거용 조성물
WO2011008051A3 (ko) * 2009-07-17 2011-04-21 동우 화인켐 주식회사 구리 또는 구리합금용 레지스트 제거용 조성물
KR20180023086A (ko) * 2016-08-23 2018-03-07 오씨아이 주식회사 식각 후 식각 용액의 후처리 방법
KR20230046710A (ko) * 2021-09-30 2023-04-06 주식회사 케이씨텍 Cmp 후 세정액 조성물

Also Published As

Publication number Publication date
US20070161528A1 (en) 2007-07-12
TWI336729B (en) 2011-02-01
EP1808480A1 (en) 2007-07-18
SG134245A1 (en) 2007-08-29
US7534753B2 (en) 2009-05-19
TW200728455A (en) 2007-08-01
DE602007002643D1 (de) 2009-11-19
ATE445003T1 (de) 2009-10-15
JP2007188082A (ja) 2007-07-26
JP4473277B2 (ja) 2010-06-02
EP1808480B1 (en) 2009-10-07
CN101000469A (zh) 2007-07-18

Similar Documents

Publication Publication Date Title
US7534753B2 (en) pH buffered aqueous cleaning composition and method for removing photoresist residue
US7888302B2 (en) Aqueous based residue removers comprising fluoride
US8003587B2 (en) Semiconductor process residue removal composition and process
US6825156B2 (en) Semiconductor process residue removal composition and process
KR100844051B1 (ko) 양이온성 염을 포함하는 잔류물 제거용 조성물 및 이를사용하는 방법
US10233413B2 (en) Cleaning formulations
US10647950B2 (en) Cleaning formulations
EP1813667A1 (en) Cleaning formulations
US7682458B2 (en) Aqueous based residue removers comprising fluoride
JP2006009006A (ja) 基材から残留物を除去するための組成物及びその組成物を用いた方法
JP2006505629A (ja) 水性ストリッピング及びクリーニング組成物
KR20120106928A (ko) 세정 포뮬레이션 및 세정 포뮬레이션을 사용하는 방법
US11091727B2 (en) Post etch residue cleaning compositions and methods of using the same
US20220243150A1 (en) Cleaning Composition For Semiconductor Substrates
JP2008519310A (ja) アルミニウム含有基板に使用するためのポストエッチ洗浄組成物
JP2022550365A (ja) ポストエッチング残留物を除去するための組成物、その組成物を使用する方法、及びその組成物の使用
KR20220035164A (ko) 에칭 잔류물 제거용 조성물, 이의 사용 방법 및 용도
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application