KR20070057232A - 알루미늄, 구리 및 텅스텐 구조체의 선택적인 니켈 도금 - Google Patents

알루미늄, 구리 및 텅스텐 구조체의 선택적인 니켈 도금 Download PDF

Info

Publication number
KR20070057232A
KR20070057232A KR1020077007522A KR20077007522A KR20070057232A KR 20070057232 A KR20070057232 A KR 20070057232A KR 1020077007522 A KR1020077007522 A KR 1020077007522A KR 20077007522 A KR20077007522 A KR 20077007522A KR 20070057232 A KR20070057232 A KR 20070057232A
Authority
KR
South Korea
Prior art keywords
tungsten
nickel
copper
aluminum
nickel plating
Prior art date
Application number
KR1020077007522A
Other languages
English (en)
Other versions
KR100929060B1 (ko
Inventor
살만 아크람
제임스 엠. 와크
윌리엄 엠. 하이어트
Original Assignee
마이크론 테크놀로지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지 인코포레이티드 filed Critical 마이크론 테크놀로지 인코포레이티드
Publication of KR20070057232A publication Critical patent/KR20070057232A/ko
Application granted granted Critical
Publication of KR100929060B1 publication Critical patent/KR100929060B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1637Composition of the substrate metallic substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1831Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1834Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/54Contact plating, i.e. electroless electrochemical plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12528Semiconductor component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

중간 반도체 장치 구조체 상에 선택적으로 니켈을 도금하는 방법이다. 상기 방법은 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체를 갖는 중간 반도체 장치 구조체를 제공하는 단계를 포함한다. 알루미늄 또는 구리 구조체 및 텅스텐 구조체 중의 하나는 니켈 도금되는 반면에, 다른 하나는 도금되지 않고 잔류한다. 상기 알루미늄 또는 구리 구조체 및 텅스텐 구조체는 먼저 니켈 도금에 대해 활성화된다. 활성화된 알루미늄 또는 구리 구조체 또는 활성화된 텅스텐 구조체는 이어서 중간 반도체 장치 구조체를 무전해 도금 용액에 침지시킴으로써 니켈 도금된다. 도금되지 않은 알루미늄 또는 구리 구조체 또는 도금되지 않은 텅스텐 구조체는 연속적으로 도금되지 않은 구조체들을 활성화시키고 활성화된 구조체를 니켈 도금함으로써 니켈 도금될 수 있다. 알루미늄 또는 구리 구조체 또는 텅스텐 구조체를 니켈로 동시에 도금하는 방법이 중간 반도체 장치 구조체에서와 같이 개시된다.
니켈 도금, 알루미늄, 구리, 텅스텐, 도금액 , 반도체 장치

Description

알루미늄, 구리 및 텅스텐 구조체의 선택적인 니켈 도금{Selective nickel plating of aluminium, copper and tungsten structures}
본 발명은 반도체 제조에 관한 것이다. 특히, 본 발명은 알루미늄, 구리 또는 텅스텐 구조체를 니켈로 선택적으로 도금하는 방법에 관한 것이다.
집적 회로를 갖는 반도체 장치들은 다른 다양한 공정과 결부되는 포토리소그래피(photolithography)를 사용하여 다수의 동일한 회로 패턴을 제작함으로써 제조된다. 반도체 장치들의 증가된 수율과 그 향상된 성능을 달성하기 위해 반도체 기판의 주어진 크기 상에 제조된 반도체 장치들의 밀도를 향상시키는 것이 반도체 제조업자들의 지속적인 목표이다. 근년에, 반도체 조립체에서 반도체 장치의 밀도를 증가시키려는 노력이 또한 강조되고 있다. 반도체 조립체 상에서 반도체 장치의 밀도를 증가시키는 한 방법은 반도체 다이들을 서로 각각 적재시키는 것이다. 반도체 다이들은 그 반도체 다이에 비아 또는 관통 홀을 형성시킴으로써 상호 연결된다. 그 비아들은 전기적 도전 재료로 충진되어 반도체 다이의 활성 표면상에 제작된 집적 회로에 비아를 전기적으로 연결시키도록 한다. 따라서, 비아들은 반도체 다이의 활성 표면으로부터 그것의 후방 표면으로 도전 통로를 제공하여 반도체 다이의 후방 표면을 다른 반도체 다이 또는 캐리어 기판의 외부 전기 접촉들과 상호 접속을 이루게 한다. 비아는 에칭, 레이저 절개 또는 드릴링 또는 그들의 조합을 통해서 형성된다. 비아 에칭은 포토레지스트의 포토리소그래픽 공정 후에 습식(화학적) 또는 건식(반응성 이온) 에칭을 이용한다. 레이저 드릴링은 반도체 재료를 절개함으로써 비아를 형성하며 반도체 다이의 전체 두께에 걸쳐 연장되는 관통 홀을 형성하는데 사용되어 왔다.
비아는 반도체 다이상에서 환상 링들(annular rings), 본드 패드들(bond pads), 컴퍼넌트 리드들(component leads), 금속 와이어 또는 다른 금속 층과 같은 다양한 금속 상호 접속 구조체를 서로 각각 전기적으로 상호 연결시킨다. 반도체 다이 상에서 본드 패드들은 일반적으로 알루미늄, 구리 또는 약 0.5% 미만의 구리를 갖는 알루미늄-구리 합금으로 형성된다. 알루미늄은 그것의 낮은 저항성, 우수한 부착 품질, 높은 열안정성, 용이한 작업성 때문에 본드 패드에 사용된다. 그러나, 알루미늄의 한가지 결점은 용이하게 산화되어 산화 알루미늄을 형성하며 이것은 본드 패드의 전기적 접속 품질과 효율을 저하시킨다. 본드 패드를 보호하기 위해서, 알루미늄은 에칭되어 산화 알루미늄을 제거하고 니켈층과 같은 배리어 층으로 커버된다. 텅스텐 또한 일반적으로 상호 접속 재료로 사용되는데, 이는 실리콘과 비슷한 열 팽창 계수를 갖고, 높은 영상비(aspect ratio)를 갖는 반도체 구조체에서 양호한 충진 성능을 갖기 때문이다. 텅스텐은 일반적으로 비아 형성 동안에 생성된 개구 표면을 충진 또는 라이닝하는데 사용된다. 비아에서의 텅스텐 라이닝은 사실상 니켈층과 같은 금속 층으로 커버된다. 본드 패드들은 일반적으로 비아가 니켈로 도금된 후에 니켈 도금되는데, 이는 산화 알루미늄을 제거하는데 사용된 에천트가 또한 비아 내부로부터 니켈 도금을 제거하기 때문이다.
본 발명은 중간의 반도체 장치 구조체 상에 니켈을 선택적으로 도금하는 방법에 관한 것이다. 상기 방법은 반도체 기판상에 적어도 하나의 구리 또는 알루미늄 구조체와 적어도 하나의 텅스텐 구조체를 포함하는 중간 반도체 장치 구조체를 제공하는 것을 포함한다. 적어도 하나의 텅스텐 구조체 및 적어도 하나의 알루미늄 또는 구리 구조체 중 하나는 니켈 도금되는 반면에 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중 다른 하나는 도금되지 않은 상태로 잔류한다. 상기 니켈은 무전해 도금된다. 상기 적어도 하나의 알루미늄 또는 구리 구조체는 적어도 하나의 알루미늄 또는 구리 본드 패드일 수 있고, 상기 적어도 하나의 텅스텐 구조체는 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아일 수 있다. 일 실시예에서, 상기 적어도 하나의 알루미늄 또는 구리 본드 패드는 니켈 도금되는 반면에, 내부에 텅스텐 층을 갖는 상기 적어도 하나의 비아는 도금되지 않은 상태로 잔류한다. 다른 실시예에서, 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아는 니켈 도금되는 반면에, 상기 적어도 하나의 알루미늄 또는 구리 본드 패드는 도금되지 않은 상태로 잔류한다.
적어도 하나의 알루미늄 또는 구리 구조체 및 텅스텐 구조체 중의 하나는 도금되는 반면에, 나머지 다른 하나는 알루미늄, 구리 및 텅스텐 중의 하나에 대해서 니켈 도금 화학 선택성을 선택함으로써 여전히 도금되지 않은 상태에 잔류시킨다. 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중의 하나를 니켈 도금하는 반면, 다른 하나는 도금되지 않도록 하기 위해 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중의 하나는 니켈 도금에 대해 활성화된다. 상기 적어도 하나의 알루미늄 또는 구리 구조체는 중간 반도체 장치를 아연산염 용액에 노출시킴으로써 활성화될 수 있다. 아연산염 용액은 산화 아연과 수산화 나트륨을 포함하는 수용성 용액일 수 있다. 상기 적어도 하나의 텅스텐 구조체는 중간 반도체 장치 구조체를 팔라듐 용액에 노출시킴으로써 니켈 도금에 대해 활성화될 수 있다. 상기 팔라듐 용액은 팔라듐 (II) 이온을 포함하는 수용성 용액일 수 있다.
적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중의 활성화된 하나는 황산 니켈, 염화 니켈, 황산 니켈, 브롬화물 니켈, 불화붕소산 니켈, 설폰산 니켈, 설파민산 니켈 및 알킬 설폰산 니켈로 이루어진 그룹으로부터 선택된 니켈 염과, 하이포아인산 나트륨, 디메틸아민 보란, 수소화붕소 나트륨 및 디메틸아미노벤즈알데히드("DMAB")로 이루어진 환원제를 포함하는 무전해 니켈 도금액에 중간 반도체 장치 구조체를 침지(immerse)시킴으로써 니켈도금될 수 있다. 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중 도금되지 않은 하나는 후속하여 도금되지 않은 구조체를 활성화시키고, 그 후 활성화된 구조체를 니켈 도금함으로써 니켈 도금될 수 있다.
본 발명은 또한 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체를 포함하는 반도체 기판을 포함하는 중간 반도체 장치에 관한 것이다. 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중 하나는 니켈 도금되지만, 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 중 다른 하나는 도금되지 않은 채로 있다. 적어도 하나의 알루미늄 또는 구리 구조체는 적어도 하나의 알루미늄 또는 구리 본드 패드일 수 있고, 적어도 하나의 텅스텐 구조체는 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아일 수 있다. 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아는 관통-웨이퍼 상호 접속부(through-wafer-interconnect) 또는 블라인드-웨이퍼 상호 접속부(blind-wafer-interconnect)일 수 있다.
본 발명은 또한 그 위에 도금된 제 1 니켈 층을 갖는 적어도 하나의 알루미늄 또는 구리 구조체와, 그 위에 도금된 제 2 니켈 층을 갖는 적어도 하나의 텅스텐 구조체를 포함하는 반도체 기판을 포함하는 중간 반도체 장치 구조체에 관한 것이다. 제 1 니켈 층 및 제 2 니켈 층 중의 적어도 하나는 적어도 하나의 알루니늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체 중 하나에 대해 선택적인 니켈 도금 화학을 제조(formulate)함으로써 형성된다. 상기 적어도 하나의 알루미늄 또는 구리 구조체는 적어도 하나의 알루미늄 또는 구리 본드 패드일 수 있고, 상기 적어도 하나의 텅스텐 구조체는 내부에 텅스텐 층을 갖는 적어도 하나의 비아일 수 있다. 내부에 텅스텐 층을 갖는 상기 적어도 하나의 비아는 관통-웨이퍼 상호 접속부 또는 블라인드-웨이퍼 상호 접속부일 수 있다.
적어도 하나의 알루미늄 또는 구리 구조체에 대해 선택적인 니켈 도금 화학은 알루미늄 또는 구리 활성체 및 무전해 니켈 도금액을 포함할 수 있다. 상기 알루미늄 또는 구리 활성체는 전술한 바와 같은 아연산염 용액일 수 있고, 무전해 니켈 도금 용액은 전술한 바와 같을 수 있다. 적어도 하나의 텅스텐 구조체에 대해 선택적인 니켈 도금 화학은 텅스텐 활성체와 무전해 니켈 도금액을 포함할 수 있다. 텅스텐 활성체는 전술한 바와 같은 팔라듐 용액일 수 있고, 무전해 니켈 도금액은 전술한 바와 같다.
본 발명은 또한 중간 반도체 장치 구조체 상에 니켈을 도금하는 방법에 관한 것이다. 본 방법은 반도체 기판상에 적어도 하나의 텅스텐 구조체와, 적어도 하나의 알루미늄 구조체 및 또는 구리 구조체를 포함하는 중간 반도체 장치 구조체를 제공하는 단계를 포함한다. 상기 적어도 하나의 알루미늄 또는 구리 구조체와 적어도 하나의 텅스텐 구조체는 동시에 니켈 도금된다. 상기 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체는 적어도 하나의 알루미늄 또는 구리 구조체 및 적어도 하나의 텅스텐 구조체의 표면을 활성화시킴으로써 니켈 도금될 수 있다. 적어도 하나의 알루미늄 또는 구리 구조체의 표면을 활성화시키기 위해서 중간 반도체 장치 구조체가 전술한 바와 같은 아연산염 용액에 노출될 수 있다. 적어도 하나의 텅스텐 구조체의 표면을 활성화시키기 위해서 중간 반도체 장치 구조체가 전술한 바와 같이 팔라듐 용액에 노출될 수 있다. 활성화된, 적어도 하나의 알루미늄 또는 구리 구조체 및 활성화된, 적어도 하나의 텅스텐 구조체는 중간 반도체 장치 구조체를 전술한 바와 같은 무전해 니켈 도금액에 침지시킴으로써 동시에 니켈 도금된다.
본 명세서가 본 발명으로서 간주되는 것을 특히 지적하고 명백하게 청구하는 특허청구 범위로서 결론이 주어지지만, 본 발명의 이점들은 첨부된 도면과 관련하여 읽을 때 본 발명의 다음의 상세한 설명으로부터 보다 용이하게 주장될 것이다.
도 1, 3-8, 10, 12, 14 및 16은 관통-웨이퍼-상호 접속이 형성되는 집적 회로의 일 실시예에 대한 개략적인 단면도들이다.
도 17-20은 관통-웨이퍼-상호 접속이 형성되는 집적 회로의 다른 실시예에 대한 개략적인 단면도들이다.
도 2, 9, 11, 13 및 15는 블라인드-웨이퍼-상호 접속이 형성되는 집적 회로의 일 실시예에 대한 개략적인 단면도들이다.
반도체 기판상에 존재하는 알루미늄 구조체 또는 텅스텐 구조체 상에 니켈을 선택적으로 도금하는 방법이 개시된다. 상기 반도체 기판은 알루미늄 구조체 및 텅스텐 구조체 모두를 포함할 수 있다. 일 실시예에서, 알루미늄 구조체는 니켈을 텅스텐 구조체 상에 전착시키지 않고 니켈로 도금된다. 여기에 사용된 바와 같이, 용어 "니켈 도금 화학"은 구조체들을 세정하고, 구조체들을 활성화시키거나 또는 구조체들을 니켈로 도금하는데 사용되는 화학을 포함한다. 다른 실시예에서, 텅스텐 구조체는 텅스텐에 대해 선택적인 니켈 도금 화학을 이용하여 알루미늄 구조체를 니켈 도금 없이 니켈로 도금될 수 있다. 또 다른 실시예에서, 텅스텐 구조체 및 알루미늄 구조체는 실제로 동시에 니켈로 도금될 수 있다.
니켈은 무전해 도금에 의해 알루미늄 구조체 또는 텅스텐 구조체 중의 하나에 전착될 수 있다. 니켈을 전착시키기 위해서, 알루미늄 구조체 또는 텅스텐 구 조체는 알루미늄 또는 텅스텐에 대해 선택적인 활성체에 구조체들을 노출시킴으로써 니켈 도금에 대해서 활성화될 수 있다. 예를 들면, 알루미늄 구조체가 니켈 도금되는 경우에, 활성체는 알루미늄에 대해 선택적일 수 있다. 역으로, 텅스텐 구조체가 니켈 도금되는 경우에는, 활성체는 텅스텐에 대해 선택적일 수 있다. 활성화된 구조체는 표면은 이어서 니켈로 도금되는 반면에, 비활성 구조체 표면은 도금되지 않은 상태로 잔류한다. 도금되지 않은 표면은 이어서 구조체를 도금되지 않은 표면에 선택적인 상이한 활성체와 같은 상이한 니켈 도금 화학에 구조체를 노출시킴으로써 니켈로 도금된다. 일단 활성화되면, 도금되지 않은 표면은 니켈로 도금될 수 있다.
구조체를 알루미늄 또는 텅스텐에 선택적인 활성체에 노출시킴으로써, 알루미늄 구조체 또는 텅스텐 구조체는 활성화되어 니켈로 도금될 수 있고, 반면 비활성 구조체는 도금되지 않은 상태로 잔류한다. 예를 들면, 구조체들이 알루미늄에 선택적인 활성체에 노출되는 경우에, 니켈은 텅스텐 구조체를 니켈 도금하지 않고 알루미늄 구조체 상에 무전해 도금된다. 알루미늄 구조체의 니켈 도금 후에, 니켈은 상이한 니켈 도금 화학을 이용하여 텅스텐 구조체 상에 도금될 수 있다. 비슷하게, 구조체가 텅스텐에 선택적인 활성체에 노출되는 경우에, 니켈은 알루미늄 구조체를 도금하지 않고 텅스텐 구조체 상에 무전해 도금될 수 있다. 니켈은 이어서 상이한 니켈 도금 화학을 이용하여 알루미늄 구조체 상에 도금될 수 있다.
여기에 개시된 실시예는 알루미늄 구조체가 알루미늄 본드 패드이고 텅스텐 구조체가 텅스텐-라인드 비아를 기술하고 있지만, 본 발명은 또한 추가적인 알루미 늄 또는 텅스텐 구조체상에 선택적으로 니켈을 도금하는데 사용될 수 있다.
니켈은 적어도 하나의 알루미늄 구조체 및 적어도 하나의 텅스텐 구조체를 갖는 중간 반도체 장치 구조체(2) 상에 선택적으로 도금될 수 있다. 도 1 및 도 2에 도시된 바와 같이, 텅스텐 구조체는 비아(4)일 수 있고, 알루미늄 구조체는 본드 패드(6)일 수 있다. 여기에 사용된 바와 같이, 용어 "비아(via)"는 반도체 기판(8)을 지나는 도전 경로를 제공하기 위해 도전 재료로 충전 또는 라이닝되는 반도체 기판(8)에서의 개구를 의미한다. 명료성을 위해서, 도 1 및 도 2에는 하나의 비아(4) 및 두 개의 본드 패드(6)가 도시되었다. 그러나, 중간 반도체 장치 구조체(2)는 다수의 비아(4) 및 다수의 본드 패드(6)를 포함할 수 있다. 상기 비아(4) 및 본드 패드(6)는 모두 중간 반도체 장치 구조체(2)의 외부 또는 노출된 표면상에 존재할 수 있으며, 또한 모두 노출될 수 있다. 본드 패드(6)는 통상적인 기술로 알루미늄과 같은 도전 금속으로부터 반도체 기판상에 형성될 수 있다. 본드 패드(6)는 약 1.0㎛ 내지 1.5㎛ 범위의 두께를 가질 수 있다. 비아(4)는 도전 재료로 충진 또는 라이닝되어 도전 통로를 제공한다. 비아(4)는 도 1에 도시된 바와 같이 반도체 기판(8) 상의 전체 두께를 통하여 연장되거나, 도 2에 도시된 바와 같이, 반도체 기판(8)에서 블라인드 홀을 형성할 수 있다. 비아(4)가 반도체 기판(8)의 두께를 통하여 연장되는 경우에, 비아(4)는 관통-웨이퍼 상호 접속("TWI")을 의미한다. TWI는 반도체 기판의 일 측상의 집적 회로를 대향 측의 다른 구성요소 또는 장치들에 전기적으로 연결시킨다. 비아(4)가 반도체 기판(8)상에 블라인드 홀을 형성하는 경우에, 비아(4)는 블라인드-웨이퍼-상호 접속("BWT")으로서 참 조될 수 있다.
중간 반도체 장치 구조체(2)는 또한 도 3에 도시된 바와 같이, 반도체 기판(8), 반도체 기판(8)의 표면을 커버하는 제 1 산화층(10), 및 제 1 산화 층(10)의 일부들을 커버하는 패시베이션 층(12)을 또한 포함할 수 있다. 반도체 기판(8)은 반도체 재료의 한 층을 포함하는 반도체 웨이퍼 또는 다른 벌크 기판일 수 있다. 이곳에 사용된 용어 "벌크 기판(bulk substrate)"은 실리콘 웨이퍼(예컨데, 단결정 실리콘 또는 다결정 실리콘)뿐 아니라, 절연체상의 실리콘("SOI") 기판, 사파이어 상의 실리콘("SOS") 기판, 유리 상의 실리콘("SOG") 기판, 베이스 반도체 기초상의 실리콘 에피택셜 층, 및 실리콘-게르마늄, 게르마늄, 루비, 수정, 사파이어, 비화 게르마늄, 다이아몬드, 실리콘 카바이드, 또는 인화 인듐과 같은 다른 반도체 재료를 포함한다. 제 1 산화 층(10)은 인화 규산염 유리("PSG"), 붕소 규산염 유리("BSG"), 붕소인화 규산염 유리("BPSG"), 또는 스핀-온 절연체("SOD")와 같은 실리콘 산화층일 수 있다. 일 실시예에서, 제 1 산화 층(10)은 BPSG 층이다. 패시베이션 층(12)은 이산화 실리콘("SiO2"), 질화 실리콘("SiN"), 산질화 실리콘, BPSG, PSG, BSG, 폴리마이드, 벤조싸이클로뷰텐, 그 혼합물, 또는 이 기술분야에 알려진 다른 비전도성 재료로부터 형성될 수 있다. 일 실시예에서, 패시베이션 층(12)은 이 기술분야에 알려진 바와 같이, SiO2 및 SiN의 샌드위치 구조이다. 패시베이션 층(12)은 약 0.5㎛ 내지 10㎛ 범위의 두께를 갖는다. 제 1 산화 층(10)과 패시베이션 층(12)은 통상적인 기술로 반도체 기판(8) 상에 기형성될 수 있다.
비아(4)가 TWI 인 경우에, 비아(4)는 도 3 내지 7에 도시된 바와 같이, 반도체 기판(8)의 두께를 지나 연장하는 적어도 하나의 개구를 형성시킴으로써 중간 반도체 장치 구조체(2)에 형성될 수 있다. 상기 개구(14)는 레이저 드릴링 또는 절개, 반응성 이온 에칭과 같은 건식 에칭, 포토캐미칼 에칭, 마스킹 및 이방성 에칭, 기계적 드릴링, 또는 반도체 기판(8)에 개구들을 형성하는 임의의 다른 알려진 공정에 의해 형성될 수 있다. 예시 목적으로, 개구(14)는 포토레지스트 층(16)을 본드 패드(6) 및 패시베이션 층(12) 상에 전착시킴으로써 형성될 수 있다. 포토레지스트 층(16)은 도 4에 도시된 바와 같이, 본드 패드(6)의 적어도 일부를 노출시키도록 에칭될 수 있다. 포토레지스트 층(16)은 통상적인 포토레지스트일 수 있고, 통상적인 기술에 의해 전착된다. 본드 패드(6)의 노출된 부분은 제거되어 제 1 산화층(10)의 적어도 일부를 노출시킨다. 제 1 산화 층(10)의 노출 부분은 도 5에 도시된 바와 같이 제거되어 반도체 기판(8)의 일부를 노출시키고, 이것은 이어서 제거되어 도 6에 도시된 바와 같이 개구(14)를 형성한다. 그 후 포토레지스트 층(16)의 잔류 부분들은 도 7에 도시된 바와 같이 제거된다. 선택적으로, 제 1 산화 층(10)의 노출 부분과 반도체 기판(8)의 기본 부분은 사실상 동시에 에칭되어 개구(14)를 형성한다. 결과적인 개구(14)는 약 4:1에서 약 30:1 범위의 높은 영상비(기판 두께:비아 직경)를 갖는다.
선택적으로, 개구(14)는 이 기술분야에 알려진 바와 같이, 습식 에칭 화학을 이용하여 본드 패드(6)를 관통하는 홀을 에칭함으로써 형성될 수 있다. 홀은 반응성 이온 에칭("RIE")에 의한 것과 같이 드라이 산화 에칭을 이용하여 연장되어 제 1 산화 층(10)을 에칭하고 반도체 기판(8)을 노출시킨다. 딤플이 테트라에틸암모늄 수산화물("TMAH")용액 및 프로필렌 글리콜과 같은 유기 용매를 포함하는 웨트 에칭 화학으로 반도체 기판(8)에 형성될 수 있다. 딤플은 약 10㎛ 내지 약 15㎛ 크기의 범위일 수 있다. 에칭 용액은 약 1 % 중량 내지 약 10 % 중량의 TMAH와 약 90 % 중량 내지 약 99 % 중량의 유기 용매를 포함할 수 있다. 일 실시예에서, 에칭 용액은 약 6 %의 TMAH과 약 94 %의 프로필 글리콜을 포함한다.
홀은 반도체 기판(8)의 일부를 레이저 절개함으로써 반도체 기판(8)을 관통하여 연장될 수 있다. 반도체 기판(8)은 반도체 기판(8)의 후방 표면으로부터 딤플을 향해서 레이저 비임을 정향시킴으로써 절개될 수 있다. 딤플은 집적 회로의 활성 표면으로부터 레이저 빔에 의해 생성된 에너지를 유지할 수 있다. 레이저 빔으로부터의 에너지는 반도체 기판(8)의 일부를 절개하고, 이것은 홀을 연장시키어 개구(14)를 형성시킨다. 그러나, 레이저 빔으로부터의 에너지는 개구(14)를 둘러싸는 반도체 기판(8)을 부당하게 가열시킬 수 있고, 열 영향 구역(heat affected zone: "HAZ")을 만드는데, 이것은 개구(14)를 감싸는 손상된 실리콘 영역이다. HAZ의 재료는 일반적으로 "슬래그"로서 참조되고, 실리콘 및 산소를 포함할 수 있다. 반도체 기판(8)에 형성된 딤플은 또한 슬래그가 집적 회로의 활성 표면에 전착되는 것을 방지한다. HAZ는 제거되어 개구(14)가 필요한 도전 통로를 형성하기에 충분한 폭 또는 직경을 갖도록 한다. HAZ는 전술한 TMAH 및 프로필렌 글리콜 에칭 용액을 사용하여 제거된다. 이 에칭 용액은 본드 패드(6) 또는 제 1 산화층(10)과 같은 집적회로의 다른 부분을 손상시키지 않고 HAZ를 선택적으로 제거할 수 있다.
포토레지스트 층(16), 본드 패드(6)의 노출 부들, 제 1 산화 층(10)의 노출부 및 반도체 기판(8)의 노출부는 종래의 건식 에칭 화학, 종래의 습식 에칭 화학 또는 레이저 에칭을 이용하여 중간 반도체 장치 구조체(2)로부터 제거될 수 있다. 에칭 기술과 조건은 이들 층의 에칭에 사용된 재료를 기초하여 이 기술 분야의 숙련자에 의해 선택될 수 있다. 따라서, 에칭 기술 및 조건은 본 명세서에 상세히 기술되지 않는다. 예를 들면, 제 1 산화 층(10)은 테트라플로르메탄("CF4") 화학 또는 트리플로르메탄("CHF3") 및 산소("O2") 화학으로 플라즈마 에칭을 이용하여 에칭될 수 있다. 선택적으로, 제 1 산화층(10)은 묽은 플로르화 수소("HF")의 수용성 용액으로 에칭될 수 있다. 반도체 기판(8)은 NF3, HBr/Cl, C2F4, 또는 SF6 화학으로 플라즈마 에칭을 이용하여 에칭될 수 있다.
개구(14)는 제 2 산화층(18)으로 라이닝되어 개구(14)가 형성될 때에 노출되는 반도체 기판 부분들을 밀봉한다. 제 2 산화 층(18)은 낮은 응력 또는 낮은 Si 산화물("LSO")로부터 형성되는데, 이것은 통상적인 기술로 반도체 기판(8)상에 전착된다. 제 2 산화 층(18)은 약 0.1㎛ 내지 약 5㎛의 두께 범위, 즉 약 1㎛ 내지 약 2 ㎛에서 전착된다. 텅스텐 층(20)은 제 2 산화 층(18) 상에 형성되어 니켈이 연이어 전착되는 시드 층을 제공한다. 텅스텐 층(20)은 약 0.02㎛ 내지 1㎛ 범위의 두께 범위를 갖는다. 텅스텐 층(20)은 이 기술분야에 알려진 바와 같은, 원자 층 전착("ALD"), 무전해 전착, 전기 도금, 화학 증착("CVD"), 플라즈마-강화 CVD("PECVD") 또는 물리적 증착("PVD")에 의해 형성된다. 부착 층이 선택적으로 텅스텐 층(20)과 제 2 산화 층(18) 사이에 존재하여 텅스텐 층(20)을 제 2 산화 층(18)에 보다 견고하게 부착시키도록 한다. 부착 층은 ALD, CVD, PECVD, PVD, 진공 증착 또는 스퓨터링에 의해 형성될 수 있다. 일 실시예에서, 부착 층은 질화 티타늄("TiN)으로부터 형성된다. 부착층은 약 50Å 내지 약 200Å 범위의 두께를 갖는다. 부착 층은 텅스텐 층(20)의 두께에 따라서 개구(14)에 사용된다. 텅스텐 층(20)이 약 500Å 두께 미만인 경우에, 부착층은 텅스텐 층(20)을 제 2 산화층(18)에 부착시키는데 필요하지 않다. 그러나, 텅스텐 층(20)이 약 500Å 두께보다 큰 경우에, 부착 층은 텅스텐 층(20)을 제 2 산화층(20)에 적절하게 부착하기 위해 사용된다.
비아(4)가 BWI인 경우에, 비아(4)는 도 2에 도시된 바와 바와 같이, 반도체 기판(8)으로 선택된 깊이까지 연장하는 적어도 하나의 개구(14)를 형성함으로써 중간 반도체 장치 구조(2)에 형성될 수 있다. 이 상황에서, 개구(14)는, 개구(14)가 반도체 기판(8)의 전체 두께를 관통하여 연장하는 것을 제외하고 전술한 바와 같이 형성될 수 있다. 개구(14)는 전술한 바와 같이, 제 2 산화 층(18) 및 텅스텐 층(20)과 라이닝된다.
도 8 및 도 9에 도시된 바와 같이, 중간 반도체 장치 구조체(2)의 제 2 산화 층(18), 텅스텐 층(20) 및 부착 층(존재하는 경우에)은 에칭되어 본드 패드(6) 및 패시베이션 층(12)위에 놓인 이들 층의 부분들을 제거한다. 제 2 산화 층(18)과 텅스텐 층(20)의 부분들은 비아(4)에 잔류한다. 이들 에칭 단계는 이곳에서 "스페이 서 에칭(spacer etch)"으로서 참조된다. 제 2 산화 층, 텅스텐 층(20) 및 부착 층(존재하는 경우에)을 제거하는데 사용된 에칭 기술 및 조건은 이들 층의 재료에 기초하여 당업자에 의해 선택될 수 있고, 따라서 본 명세서에 상세히 기술하지 않는다. 예를 들면, 텅스텐 층(20)과 부착 층(존재하는 경우에)은 통상적인 건식 에칭으로 제거될 수 있다. 제 2 산화 층(18)은 통상적인 습식 에칭으로 제거될 수 있다.
제 1 니켈 층(22)은 이어서 도 10 및 도 11에 도시된 바와 같이, 텅스텐 층(20) 상의 니켈 도금 없이 노출된 본드 패드(6) 상에 도금된다. 제 1 니켈 층을 형성하기 위해서, 본드 패드(6)의 표면은 세정되어 산화 알루미늄을 제거한다. 예를 들면, 산화 알루미늄을 제거하기 위해 사용된 세정 용액은 수산화나트륨("NaOH") 및 질산("HNO3") 또는 인산의 수용액일 수 있다. NaOH는 본드 패드(6)의 표면상에 산화 알루미늄을 용해시키는 반면에, HNO3 또는 인산은 본드 패드(6)의 표면을 에칭하여 제 1 니켈 층(22)이 궁극적으로 도금되는 세정된 알루미늄 표면을 제공한다. 세정 용액은 약 1% 내지 약 5%의 NaOH와 약 50%의 HNO3을 포함하며, 그 나머지는 물이다. 본드 패드(6)는 세정 용액에 중간 반도체 장치 구조체(2)를 침지시키거나 또는 중간 반도체 장치 구조체(2)를 세정 용액으로 스프래이 함으로써 세정된다. 그 후 본드 패드(6)는 탈이온수로 헹구어 NaOH 및 HNO3 또는 인산을 제거하도록 한다. 본드 패드(6)에 형성된 산화 알루미늄을 제거하기 위해서 이 기술분야에 알려진 다른 습식 에칭이 또한 사용될 수 있다.
산화 알루미늄을 제거한 후에, 본드 패드(6)의 표면은 알루미늄에 대해 선택적인 활성체를 사용하여 니켈 도금에 대해 활성화될 수 있다. 알루미늄 활성체가 알루미늄에 대해 선택적이므로, 텅스텐 층(20)의 표면은 니켈 도금에 대해 활성화되지 않는다. 알루미늄 활성체는 산화 아연("ZnO")과 같은 아연 소스 재료, NaOH와 같은 가성 베이스 또는 다른 알카리 금속 수산화물을 포함하는 수용성 아연산염 용액일 수 있다. 알칼리성 pH에서, 아연 소스 재료는 아연산염 용액에서 Zn(OH)4로서 존재할 수 있다. 중간 반도체 장치 구조체(2)는 본드 패드(6)의 표면상에 아연 함유 층을 전착시키는데 충분한 시간 동안에 아연산염 용액에 노출될 수 있다. 아연 함유 층은 아연이 풍부한 층의 하나의 단분자층(monolayer) 내지 두 개의 단분자층을 포함할 수 있다. 아연 함유 층은 제 1 니켈 층(22)에 대해서 시드 층으로 기능할 수 있다. 중간 반도체 장치 구조체(2)는 약 10 초 내지 약 1 분 동안에 아연산염 용액에 노출될 수 있다. 아연산염 용액은 LeaRonal Inc.(뉴욕 버팔로) 또는 PacTech GmbH (독일, 베를린)와 같은 다양한 제조업자로부터 상업적으로 이용가능하다. 중간 반도체 장치 구조체(2)의 본드 패드(6)들은 알루미늄 활성체에 중간 반도체 장치 구조체(2)를 침지시키거나 또는 중간 반도체 장치 구조체(2)를 알루미늄 활성체로 스프레이함으로써 활성화될 수 있다. 알루미늄 활성체는 약 20℃ 내지 약 40℃ 온도 범위에서 유지될 수 있다.
일단 본드 패드(6)가 니켈 도금에 대해 활성화되면, 중간 반도체 장치 구조체(2)는 제 1 니켈 층(22)을 형성하기 위해 탈이온수로 헹구어지고 무전해 니켈 도 금 용액을 포함하는 용액에 침지될 수 있다. 제 1 니켈 층(22)은 용융 솔더(solder)가 비아(4)를 보다 효율적으로 충진하도록 하는 습식 층으로 작용할 수 있다. 제 1 니켈 층(22)은 또한 배리어 층으로 작용할 수 있다. 무전해 니켈 도금액은 무전해 니켈- 인 도금 용액 또는 무전해 니켈-붕소 도금 용액과 같이 이 기술분야에 잘 알려져 있다. 그러한 무전해 니켈 도금액들은 PacTech GmbH (독일 베를린)로부터 이용가능한 NicPac 2.1, NicPac 2.2 및 NicPac 2.3과 같이 상업적으로 이용가능한 것과, Rohnm and Haas Electronic Materials (펜실베니아 필라델피아)로부터 이용가능한 RonamaxR SMT, DurapositR, NipositR, NiplateTM일 수 있다. 이들 무전해 니켈 도금용액은 니켈 이온 소스로서 황산 니켈, 염화 니켈, 황산 니켈, 브롬화물 니켈, 불화붕소산 니켈, 설폰산 니켈, 설파민산 니켈 및 알킬 설폰산 니켈과 같은 니켈 염을 포함한다. 무전해 니켈 도금 용액은 또한 인 화합물 또는 붕소 화합물과 같은 환원제를 포함한다. 환원제의 예는 하이포아인산 나트륨, 디메틸아민 보란, 수소화붕소 나트륨 및 DMAB를 포함한다. 무전해 니켈 도금액은 또한 용액과 시트르산, 라틱산 및 말리산과 같은 착화제(complexing agent)의 pH를 유지하기 위해서 NaOH를 포함한다. 무전해 니켈 도금액의 pH는 약 4 내지 약 6으로 유지된다. 무전해 도금 동안에, 니켈 이온들은 환원제의 산화에 의해 니켈로 환원된다. 일 실시예에서, 무전해 니켈 도금액은 염화 니켈, 소듐하이드록시아세테이트 및 하이포아인산 나트륨을 포함한다. 또 다른 실시예에서, 무전해 니켈 도금 용액은 황산 니켈 및 하이포아인산염을 포함한다. 용액에서의 무전해 니켈 도금액은 약 60℃ 내지 약 100℃의 온도 범위, 즉 약 80℃ 내지 약 90℃에서 유지될 수 있다.
본드 패드(6)에서 제 1 니켈 층(22)의 두께는 무전해 니켈 도금액에서 니켈의 농도 및 중간 반도체 장치 구조체(2)가 무전해 도금액에 침지되는 시간의 양에 의존한다. 제 1 니켈 층(22)은 약 500Å 내지 약 10㎛ 범위의 두께를 갖는다. 일 실시예에서, 제 1 니켈 층(22)은 약 1㎛의 두께를 갖는다. 중간 반도체 장치 구조체(2)는 약 1 분 내지 약 5 분과 같이, 본드 패드(6) 상에 제 1 니켈 층(22)의 원하는 두께를 전착시키기에 충분한 시간 동안에 무전해 니켈 도금액에 침지된다. 니켈 도금 동안에, 본드 패드(6)의 아연 함유 층은 제 1 니켈 층(22)으로 대체될 수 있다. 니켈 도금 화학이 알루미늄에 대해서 선택적이므로, 본드 패드(6)는 대체로 니켈로 도금되고, 반면 어떤 니켈도 비아(4)의 텅스텐 층(20)상에 도금되지 않는다.
니켈 도금 화학이 구리상에 직접 도금 하는데 사용되므로, 구리로부터 형성된 본드 패드는 텅스텐 층(20)의 니켈 도금 없이 니켈로 선택적으로 도금된다. 구리로 형성된 본드 패드(6)의 활성화가 알루미늄 본드 패드(6)에 대해서 대체로 전술한 바와 같이 발생한다. 선택적으로, 구리 본드 패드(6)는 아연산염 용액 대신에 팔라듐 용액으로 도금 전에 활성화될 수 있다.
제 1 니켈 층(22)이 본드 패드(6) 상에 형성된 후에, 제 2 니켈 층(24)이 개구(14)에 전착되어 도 12 및 도 13에 도시된 바와 같이, 비아(4)를 라이닝한다. 제 2 니켈 층(24)은 습식 층으로 작용하여 용융 솔더가 비아(4)를 보다 효율적으로 충진시키도록 한다. 제 2 니켈 층(24)은 텅스텐 층(20)으로부터 산화물을 먼저 제 거한 후에 텅스텐 층(20) 위에 전착된다. 텅스텐 층(20)은 수용성 수산화 칼륨("KOH") 용액을 사용하는 것에 의해서와 같이 화학적으로 세정된다.
텅스텐 층(20)은 이어서 텅스텐에 대해 선택적인 활성체로 니켈 도금에 대해 활성화될 수 있다. 텅스텐 활성체가 텅스텐에 대해 선택적이므로, 본드 패드(6)는 니켈 도금에 대해 활성화되지 않는다. 텅스텐 활성체는 팔라듐(II) 이온들을 함유하는 수용성 팔라듐 용액일 수 있다. 중간 반도체 장치 구조체(2)는 팔라듐 박막층을 텅스텐 층(20)의 표면상에 전착시키기에 충분한 시간 동안 텅스텐 활성체에 노출된다. 예를 들면, 중간 반도체 장치 구조체는 약 10초 내지 약 1 분 동안 텅스텐 활성체에 노출된다. 중간 반도체 장치 구조체(2)의 텅스텐 층(20)은 텅스텐 활성체에 중간 반도체 장치 구조체(2)를 침지시키거나 또는 중간 반도체 장치 구조체(2)를 텅스텐 활성체로 스프레이함으로써 활성화될 수 있다.
텅스텐 층(20) 니켈 도금에 대해 활성화된 후에, 중간 반도체 장치 구조체(2)는 무전해 니켈 도금액을 포함하는 용액에 침지되어 텅스텐 층(20)상에 제 2 니켈 층(24)을 형성한다. 무전해 니켈 도금액은 통상적인 니켈 도금액일 수 있다. 무전해 니켈 도금액은 제 1 니켈 도금 층(22)을 도금하는데 사용된 무전해 니켈 도금액과 동일하거나 또는 상이한 무전해 니켈 도금액일 수 있다. 무전해 니켈 도금액은 전술한 바와 같은 것이다. 제 2 니켈 층(24)은 약 500Å 내지 약 10㎛ 범위의 두께를 갖는다. 일 실시예에서, 제 2 니켈 층(24)은 약 3 내지 5㎛의 두께를 갖는다. 중간 반도체 장치 구조체(2)는 약 1 분 내지 약 5 분 동안과 같이, 본드 패드(6) 상에 제 2 니켈 층(24)의 원하는 두께를 전착시키기에 충분한 시간 동안에 무전해 니켈 도금액에 침지된다. 니켈 도금 동안에, 텅스텐 층(20)의 팔라듐 층은 제 2 니켈 층(24)으로 대체될 수 있다. 무전해 니켈 도금액이 텅스텐에 대해서 선택적이므로, 텅스텐 층(20)은 대체로 니켈로 도금되는 반면에 어떤 니켈도 본드 패드(6)상에 도금되지 않는다.
비아(4)는 이어서 솔더(26)로 충진되어 도 14 및 도 15에 도시된 바와 같이, 도전 통로를 제공한다. 솔더는 주석, 납, 인듐, 안티몬, 은, 구리 및 그들의 혼합물을 포함하는 통상적인 솔더 형식일 수 있다. 솔더는 비아(4)를 습윤 또는 충진시킬 수 있다. 적절한 솔더 형식은 이것에 제한되는 것은 아니지만, 95% 납/5% 주석, 60%납/40% 주석, 63%인듐/37% 주석, 100% 주석, 그리고 62% 납/36% 주석/2% 은을 포함할 수 있다. 솔더(26)로 비아(4)를 충진시키기 위해서, 솔더(26)는 180℃ 내지 약 300℃와 같은 솔더(26)를 용융시키는데 충분한 온도로 가열될 수 있다. 용융 솔더가 이어서 비아(4)에 또는 본드 패드(6) 부근에 전착될 수 있다. 용융 솔더가 본드 패드 부근에 전착되는 경우에, 비아(4)로 재유동되어 도전 통로를 제공한다. 솔더(26)는 또한 비아(4)가 TWI인 경우에, 용융 솔더가 중간 반도체 장치 구조체(2)의 후방 표면에 사용되는 웨이브 솔더 공정을 사용하여 전착될 수 있다. 용융 솔더는 이어서 모세관(capillary) 작용 및 습윤력에 의해 비아(4)내로 들어간다.
바이어(4)가 BWI인 경우에, 솔더 볼(solder ball)과 같은 많은 솔더가 비아(4)의 마우스에 위치되고, 용융되어 모세관 작용에 의해 비아(4)내로 들어간다. 반도체 기판(8)의 후방 표면이 도 16에 도시된 바와 같이, 제거되어 비아(4)를 노출시킨다. 반도체 기판(8)의 후방 표면은 이 기술분야에 알려진 바와 같이 백그라 인딩에 의해 제거될 수 있다. 반도체 기판(8)의 백그라인딩은 화학 기계적 폴리싱("CMP") 또는 마찰 수단에 의해 달성될 수 있다. 후방 표면을 제거한 후에, 비아(4)는 반도체 기판(8)의 두께를 지나 연장되고, 이것은 TWI를 형성한다. 이와 같이, TWI가 직접 형성되거나 먼저 BWI를 제조한 후에 형성될 수 있다.
선택적으로, 제 1 니켈(22)은 도 17에 도시된 바와 같이, 본드 패드(6)위에 형성될 수 있다. 제 1 니켈 층(22)은 전술한 바와 같이 형성될 수 있다. 이어서, 개구(14)는 도 18에 도시된 바와 같이, 반도체 기판(8)에 형성될 수 있다. 개구(14)는 전술한 바와 같이 향성될 수 있다. 제 2 산화층(18), 텅스텐 층(20), 그리고 선택적으로 부착층은 이어서 개구(14)에 전착되어 비아(4)를 형성한다. 도 19에 도시된 바와 같이, 제 2 산화층(18), 텅스텐 층(20), 그리고 부착층(존재하는 경우에)이 스페이서 에칭을 이용하여 중간 반도체 장치 구조체(2) 표면으로부터 제거된다. 제 2 니켈 층(24)은 이어서 도 20에 도시된 바와 같이, 텅스텐 층(20) 위에 도금된다. 제 2 니켈 층(24)은 전술한 바와 같이 도금될 수 있다. 비아(4)는 이어서 도 14와 관련하여 전술한 바와 같이, 솔더(26)로 충진된다. 비아(4)가 형성되기 전에 제 1 니켈 층(22)을 본드 패드(6)위에 전착시키는 것에 의해 니켈은 개구(14)가 형성된 후에 노출되는 반도체 기판(8)의 부분에는 전착되지 않는다.
제 2 니켈 층(24)을 텅스텐 구조체상에 전착시키기 전에 제 1 니켈 층(22)을 알루미늄 구조체상에 전착시키는 것에 의해, 알루미늄 구조체는 후속의 공정 단계 동안에 보호될 수 있다. 예를 들면, 제 1 니켈 층(22)은 니켈 마스크로 작용하여 알루미늄 구조체가 텅스텐 활성체에 노출되는 것으로부터 보호할 수 있다. 텅스텐 구조체는 이어서 더이상 알루미늄 구조체를 보호함이 없이 니켈로 도금된다. 또한, 텅스텐 구조체는 알루미늄 구조체를 니켈 도금한 후에 도금되기 때문에, 니켈은 알루미늄 구조체를 도금하는데 사용된 세정, 활성화 및 도금 화학에 의해 텅스텐 구조체로부터 제거되지 않는다. 달리 말하면, 알루미늄 산화물을 제거하기 위해 사용된 에칭 화학은 비아 (4) 내부로부터 제 2 니켈 층(24)의 부분들을 제거하지 못할 수 있다.
전술한 바와 같이, 또 다른 실시예에서, 텅스텐 구조체는 알루미늄 구조체를 도금하기 전에 니켈로 도금된다. 텅스텐 구조체는 텅스텐 구조체를 니켈 도금에 대해 활성화시키고, 이어서 전술한 바와 같이 니켈을 전착시킴으로써 니켈로 선택적으로 도금될 수 있다. 알루미늄 구조체는 이어서 알루미늄 구조체를 니켈 도금에 대하여 활성화시키고 이어서 전술한 바와 같이 니켈을 전착시킴으로써 니켈 도금될 수 있다.
또 다른 실시예에서, 텅스텐 구조체 및 알루미늄 구조체는 니켈 도금 전에 알루미늄 구조체 및 텅스텐 구조체 모두의 표면을 활성화시킴으로써 사실상 동시에 니켈로 도금될 수 있다. 알루미늄 구조체는 중간 반도체 장치 구조체(2)를 아연산염 용액에 전술한 바와 같이 노출시킴으로써 활성화될 수 있다. 중간 반도체 장치 구조체(2)는 이어서 텅스텐 활성체에 노출되어 텅스텐 구조체를 활성화시킨다. 활성화된 텅스텐 구조체 및 알루미늄 구조체는 이어서 전술한 바와 같이 니켈 도금될 수 있다.
알루미늄 구조체 및 텅스텐 구조체 중의 하나를 선택적으로 니켈로 도금하는 것에 부가하여, 본 발명의 방법은 또한 구리 구조체 및 텅스텐 구조체 중의 하나를 니켈로 도금하는데 사용될 수 있다. 이와 같이, 본드 패트(6)는 구리 또는 구리 및 알루미늄 혼합물로 형성될 수 있다. 통상적인 니켈 도금 화학이 구리 상에 직접 도금될 수 있기 때문에, 구리로부터 형성된 본드 패드(6)는 선택적으로 니켈로 도금될 수 있다.
본 발명이 다양한 변형과 변화가 가능하지만, 특수한 실시예가 도면에 예시로서 도시되었고, 이곳에 상세히 기술되었다. 그러나, 본 발명은 개시된 특수한 형식에 제한되지 않음을 이해하여야 한다. 또한, 본 발명은 첨부된 청구범위에 의해 한정되는 본 발명의 범위를 들어오는 모든 변형, 균등물 및 변화물에 미친다.

Claims (29)

  1. 중간 반도체 장치 구조체 상에 니켈을 선택적으로 도금하는 방법에 있어서,
    반도체 기판상에 적어도 하나의 텅스텐 구조체와, 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중의 적어도 하나를 포함하는 중간 반도체 장치 구조체를 제공하는 단계; 및
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는 단계를 포함하는, 니켈 도금 방법.
  2. 중간 반도체 장치 구조체 상에 니켈을 도금하는 방법에 있어서,
    반도체 기판상에 적어도 하나의 텅스텐 구조체와 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중의 적어도 하나를 포함하는 중간 반도체 장치 구조체를 제공하는 단계; 및
    상기 적어도 하나의 텅스텐 구조체와 상기 적어도 하나의 텅스텐 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 하나를 동시에 니켈 도금하는 단계를 포함하는, 니켈 도금 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    적어도 하나의 텅스텐 구조체와, 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나를 포함하는 중간 반도체 장치 구조체를 제공하는 단계는, 적어도 하나의 알루미늄 본드 패드 또는 적어도 하나의 구리 본드 패드를 포함하는 중간 반도체 장치 구조체를 제공하는 단계를 포함하는, 니켈 도금 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    적어도 하나의 텅스텐 구조체와, 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중의 적어도 하나를 포함하는 중간 반도체 장치 구조체를 제공하는 단계는, 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아를 포함하는 중간 반도체 장치 구조체를 제공하는 단계를 포함하는, 니켈 도금 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 알루미늄, 구리 또는 텅스텐에 대해서 선택적인 니켈 도금 화학을 선택하는 단계를 포함하는, 니켈 도금 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 상기 적어도 하나의 텅스텐 구조체, 또는 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금에 대해 활성화시키는 단계를 포함하는, 니켈 도금 방법.
  7. 제 6 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금에 대해 활성화시키는 단계는, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나의 표면을 활성화시키는 단계를 포함하는, 니켈 도금 방법.
  8. 제 6 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금에 대해 활성화 시키는 단계는, 상기 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나를 활성화시키기 위해 상기 중간 반도체 장치 구조체를 아연산염 용액에 노출시키는 단계를 포함하는, 니켈 도금 방법.
  9. 제 8 항에 있어서,
    상기 중간 반도체 장치 구조체를 아연산염 용액에 노출시키는 단계는 상기 중간 반도체 장치 구조체를 산화 아연 및 수산화 나트륨을 포함하는 수용성 용액에 노출시키는 단계를 포함하는, 니켈 도금 방법.
  10. 제 6 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금에 대해 활성화시키는 단계는, 상기 적어도 하나의 텅스텐 구조체를 활성화시키기 위해 상기 중간 반도체 장치 구조체를 팔라듐 용액에 노출시키는 단계를 포함하는, 니켈 도금 방법.
  11. 제 10 항에 있어서,
    상기 팔라듐 용액에 중간 반도체 장치 구조체를 노출시키는 단계는 팔라듐(II) 이온들을 포함하는 수용성 용액에 상기 중간 반도체 장치 구조체를 노출시키는 단계를 포함하는, 니켈 도금 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 텅스텐 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 적어도 다른 하나의 구리 구조체 중 상기 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나의 활성 표면을 니켈 도금하는 단계를 포함하는, 니켈 도금 방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나의 도금되지 않은 구조체를 니켈 도금하는 단계를 더 포함하는, 니켈 도금 방법.
  14. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 텅스텐 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 하나의 다른 하나를 도금되지 않은 상태로 잔류시키는 단계는, 적어도 하나의 알루미늄 본드 패드 및 적어도 하나의 구리 본드 패드 중의 적어도 하나는 니켈 도금하는 반면에, 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아는 도금되지 않은 상태로 잔류시키는 단계를 포함하는, 니켈 도금 방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아는 니켈 도금시키는 반면에, 적어도 하나의 알루미늄 본드 패드 및 적어도 하나의 구리 본드 패드 중의 적어도 하나는 도금되지 않은 상태로 잔류시키는 단계를 포함하는, 니켈 도금 방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 활성화된 적어도 하나의 텅스텐 구조체, 또는 활성화된 적어도 하나의 알루미늄 구조체 및 활성화된 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금시키는 단계를 포함하는, 니켈 도금 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 적어도 하나의 텅스텐 구조체, 또는 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나를 니켈로 무전해 도금시키는 단계를 포함하는, 니켈 도금 방법.
  18. 제 1 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 다른 하나는 도금되지 않은 상태로 잔류시키는 단계는, 황산 니켈, 염화 니켈, 황산 니켈, 브롬화물 니켈, 불화붕소산 니켈, 설폰산 니켈, 설파민산 니켈, 알킬 설폰산 니켈로 이루어진 그룹으로부터 선택된 니켈 염과, 하이포아인산 나트륨, 디메틸아민 보란, 수소화붕소 나트륨 및 디 메틸아미노벤즈알데히드로 이루어진 그룹에서 선택된 환원제를 포함하는 무전해 니켈 도금액에 상기 중간 반도체 장치 구조체를 침지시키는 단계를 포함하는, 니켈 도금 방법.
  19. 중간 반도체 장치 구조체에 있어서,
    반도체 기판은 적어도 하나의 텅스텐 구조체와, 적어도 하나의 알루미늄 구조체 및 적어도 하나의 구리 구조체 중 적어도 하나를 포함하고, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 텅스텐 구조체 및 상기 적어도 하나의 구리 구조체 중 적어도 하나를 니켈 도금하는 반면에, 상기 적어도 하나의 텅스텐 구조체, 또는 상기 적어도 하나의 알루미늄 구조체 및 상기 적어도 하나의 구리 구조체 중의 적어도 하나의 다른 하나는 도금되지 않은 상태로 잔류시키는, 중간 반도체 장치 구조체.
  20. 중간 반도체 장치 구조체에 있어서,
    반도체 기판은 그 위에 도금된 제 1 니켈 층을 갖는 적어도 하나의 알루미늄 구조체 또는 적어도 하나의 구리 구조체와, 그 위에 도금된 제 2 니켈 층을 갖는 적어도 하나의 텅스텐 구조체를 포함하고, 상기 제 1 니켈 층과 상기 제 2 니켈 층 중 적어도 하나는 알루미늄, 구리 또는 텅스텐에 대해 선택적인 니켈 도금 화학을 포뮬레이팅함으로써 형성되는, 중간 반도체 장치 구조체.
  21. 제 19 항 또는 제 20 항에 있어서,
    상기 적어도 하나의 알루미늄 구조체 또는 상기 적어도 하나의 구리 구조체는 적어도 하나의 알루미늄 본드 패드 또는 적어도 하나의 구리 본드 패드를 포함하는, 중간 반도체 장치 구조체.
  22. 제 19 항 또는 제 20 항에 있어서,
    상기 적어도 하나의 텅스텐 구조체는 그 내부에 텅스텐 층을 갖는 적어도 하나의 비아를 포함하는, 중간 반도체 장치 구조체.
  23. 제 22 항에 있어서,
    그 내부에 텅스텐 층을 갖는 상기 적어도 하나의 비아는 관통-웨이퍼 상호 접속부(through-wafer-interconnect)를 포함하는, 중간 반도체 장치 구조체.
  24. 제 22 항에 있어서,
    그 내부에 텅스텐 층을 갖는 상기 적어도 하나의 비아는 블라인드-웨이퍼 상호 접속부(blind-wafer-interconnect)를 포함하는, 중간 반도체 장치 구조체.
  25. 제 20 항에 있어서,
    알루미늄 또는 구리에 대해 선택적인 상기 니켈 도금 화학은 알루미늄 활성체 또는 구리 활성체 및 무전해 니켈 도금액을 포함하는, 중간 반도체 장치 구조 체.
  26. 제 25 항에 있어서,
    상기 알루미늄 활성체 또는 상기 구리 활성체는 산화 아연 및 수산화나트륨을 포함하는 수용성 아연산염액을 포함하는, 중간 반도체 장치 구조체.
  27. 제 20 항에 있어서,
    텅스텐에 대해 선택적인 상기 니켈 도금 화학은 텅스텐 활성체 및 무전해 니켈 도금액을 포함하는, 중간 반도체 장치 구조체.
  28. 제 25 항 또는 제 27 항에 있어서,
    무전해 니켈 도금 용액은 황산 니켈, 염화 니켈, 황산 니켈, 브롬화물 니켈, 불화붕소산 니켈, 설폰산 니켈, 설파민산 니켈 및 알킬 설폰산 니켈로 이루어진 그룹에서 선택된 니켈 염과, 하이포아인산 나트륨, 디메틸아민 보란, 수소화붕소 나트륨 및 디메틸아미노벤즈알데히드로 이루어진 그룹으로부터 선택된 환원제를 포함하는, 중간 반도체 장치 구조체.
  29. 제 27 항에 있어서,
    상기 텅스텐 활성체는 팔라듐(II) 이온들의 수용성 용액을 포함하는, 중간 반도체 장치 구조체.
KR1020077007522A 2004-09-02 2005-08-25 알루미늄, 구리 및 텅스텐 구조체의 선택적인 니켈 도금 KR100929060B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/934,635 US7279407B2 (en) 2004-09-02 2004-09-02 Selective nickel plating of aluminum, copper, and tungsten structures
US10/934,635 2004-09-02
PCT/US2005/030228 WO2006028715A2 (en) 2004-09-02 2005-08-25 Selective nickel plating of aluminium, copper and tungsten structures

Publications (2)

Publication Number Publication Date
KR20070057232A true KR20070057232A (ko) 2007-06-04
KR100929060B1 KR100929060B1 (ko) 2009-11-30

Family

ID=35241013

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007522A KR100929060B1 (ko) 2004-09-02 2005-08-25 알루미늄, 구리 및 텅스텐 구조체의 선택적인 니켈 도금

Country Status (6)

Country Link
US (7) US7279407B2 (ko)
EP (1) EP1786951B1 (ko)
JP (1) JP4893965B2 (ko)
KR (1) KR100929060B1 (ko)
TW (1) TWI303674B (ko)
WO (1) WO2006028715A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120130211A (ko) * 2010-02-19 2012-11-29 가부시끼가이샤 아라이도 마테리아루 금속 적층 구조체 및 금속 적층 구조체의 제조 방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279407B2 (en) * 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7713839B2 (en) * 2004-10-06 2010-05-11 Intel Corporation Diamond substrate formation for electronic assemblies
WO2006061589A1 (en) * 2004-12-06 2006-06-15 Plastic Logic Limited Electronic devices
US20070004639A1 (en) * 2005-07-01 2007-01-04 Bodybio, Inc. Methods and compositions for treating Parkinson's disease
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7344959B1 (en) * 2006-07-25 2008-03-18 International Business Machines Corporation Metal filled through via structure for providing vertical wafer-to-wafer interconnection
US20080145506A1 (en) * 2006-12-18 2008-06-19 Bodybio, Inc. Food compositions and products containing balanced ratio of essential fatty acids
JP5135879B2 (ja) * 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8586465B2 (en) * 2007-06-07 2013-11-19 United Test And Assembly Center Ltd Through silicon via dies and packages
US8258624B2 (en) 2007-08-10 2012-09-04 Intel Mobile Communications GmbH Method for fabricating a semiconductor and semiconductor package
JP5265939B2 (ja) * 2008-02-08 2013-08-14 セイコーインスツル株式会社 半導体装置の製造方法
US7898063B2 (en) * 2008-02-16 2011-03-01 International Business Machines Corporation Through substrate annular via including plug filler
KR101052870B1 (ko) * 2008-04-21 2011-07-29 주식회사 하이닉스반도체 관통 전극, 이를 갖는 회로 기판, 이를 갖는 반도체 패키지및 반도체 패키지를 갖는 적층 반도체 패키지
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8263497B2 (en) 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US8242384B2 (en) * 2009-09-30 2012-08-14 International Business Machines Corporation Through hole-vias in multi-layer printed circuit boards
US8492901B2 (en) * 2009-11-06 2013-07-23 International Business Machines Corporation Metal oxide semiconductor (MOS)-compatible high-aspect ratio through-wafer vias and low-stress configuration thereof
US8952919B2 (en) 2011-02-25 2015-02-10 Taiwan Green Point Enterprises Co., Ltd. Capacitive touch sensitive housing and method for making the same
JP5537197B2 (ja) * 2010-03-12 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8621749B2 (en) 2010-03-12 2014-01-07 Taiwan Green Point Enterprises Co., Ltd Non-deleterious technique for creating continuous conductive circuits
US20120273261A1 (en) 2010-10-20 2012-11-01 Taiwan Green Point Enterprises Co., Ltd. Circuit substrate having a circuit pattern and method for making the same
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
KR101847628B1 (ko) * 2011-09-28 2018-05-25 삼성전자주식회사 금속함유 도전 라인을 포함하는 반도체 소자 및 그 제조 방법
US8803322B2 (en) * 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US8946663B2 (en) * 2012-05-15 2015-02-03 Spansion Llc Soft error resistant circuitry
US9922870B2 (en) * 2012-10-09 2018-03-20 Oce-Technologies B.V. Method for applying an image of an electrically conductive material onto a recording medium and device for ejecting droplets of an electrically conductive fluid
US9287228B2 (en) * 2014-06-26 2016-03-15 Lam Research Ag Method for etching semiconductor structures and etching composition for use in such a method
JP6406908B2 (ja) * 2014-07-18 2018-10-17 キヤノン株式会社 シリコン基板をエッチングするエッチング方法、及び前記エッチング方法を含む液体吐出ヘッドの製造方法
JP2017059636A (ja) * 2015-09-15 2017-03-23 三菱電機株式会社 半導体装置の製造方法
CN108470710B (zh) * 2017-02-23 2019-09-17 联华电子股份有限公司 一种形成半导体存储装置的方法
US11257745B2 (en) * 2017-09-29 2022-02-22 Intel Corporation Electroless metal-defined thin pad first level interconnects for lithographically defined vias
CN109755714B (zh) * 2017-11-06 2021-06-04 华润微电子(重庆)有限公司 一种集成波导结构及其制备方法
TWI670396B (zh) * 2018-04-11 2019-09-01 國立勤益科技大學 鋁合金之表面處理方法
KR20210000161A (ko) * 2019-06-24 2021-01-04 삼성전기주식회사 인쇄회로기판 및 그 제조방법

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3726711A (en) * 1970-09-28 1973-04-10 Nordson Corp Method and apparatus for coating metal can bodies
US3726771A (en) 1970-11-23 1973-04-10 Stauffer Chemical Co Process for chemical nickel plating of aluminum and its alloys
US4017265A (en) * 1972-02-15 1977-04-12 Taylor David W Ferromagnetic memory layer, methods of making and adhering it to substrates, magnetic tapes, and other products
US4122215A (en) * 1976-12-27 1978-10-24 Bell Telephone Laboratories, Incorporated Electroless deposition of nickel on a masked aluminum surface
US4104111A (en) * 1977-08-03 1978-08-01 Mack Robert L Process for manufacturing printed circuit boards
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
DE3827893A1 (de) * 1988-08-17 1990-03-01 Hoechst Ceram Tec Ag Verfahren zur stromlosen abscheidung von nickel
CA2031549C (en) 1990-05-08 1998-04-28 Jon E. Bengston Electroless plating of nickel onto surfaces such as copper or fused tungsten
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US6664115B2 (en) * 1992-10-23 2003-12-16 Symetrix Corporation Metal insulator structure with polarization-compatible buffer layer
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US5682062A (en) * 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5814889A (en) * 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5608264A (en) * 1995-06-05 1997-03-04 Harris Corporation Surface mountable integrated circuit with conductive vias
US5795619A (en) * 1995-12-13 1998-08-18 National Science Council Solder bump fabricated method incorporate with electroless deposit and dip solder
FI109216B (fi) * 1996-03-06 2002-06-14 Metso Paper Inc Menetelmä ja sovitelma liikkuvan radan päällystämiseksi
EP0948808A4 (en) * 1996-10-29 2000-05-10 Trusi Technologies Llc INTEGRATED CIRCUITS AND MANUFACTURING METHODS THEREOF
US6300678B1 (en) * 1997-10-03 2001-10-09 Fujitsu Limited I/O pin having solder dam for connecting substrates
US6028011A (en) * 1997-10-13 2000-02-22 Matsushita Electric Industrial Co., Ltd. Method of forming electric pad of semiconductor device and method of forming solder bump
US6051879A (en) * 1997-12-16 2000-04-18 Micron Technology, Inc. Electrical interconnection for attachment to a substrate
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6369600B2 (en) * 1998-07-06 2002-04-09 Micron Technology, Inc. Test carrier for testing semiconductor components including interconnect with support members for preventing component flexure
US6083834A (en) * 1999-01-19 2000-07-04 Taiwan Semiconductor Manufacturing Company Zincate catalysis electroless metal deposition for via metal interconnection
US6342417B2 (en) * 1999-02-16 2002-01-29 Micron Technology, Inc. Methods of forming materials comprising tungsten and nitrogen
US6261367B1 (en) * 1999-05-10 2001-07-17 Nordson Corporation Method and apparatus for dispensing liquid material
US20020043466A1 (en) * 1999-07-09 2002-04-18 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6503343B1 (en) * 2000-09-11 2003-01-07 Innovative Technology Licensing, Llc Controlled plating on reactive metals
US6673710B1 (en) * 2000-10-13 2004-01-06 Bridge Semiconductor Corporation Method of connecting a conductive trace and an insulative base to a semiconductor chip
US6693358B2 (en) * 2000-10-23 2004-02-17 Matsushita Electric Industrial Co., Ltd. Semiconductor chip, wiring board and manufacturing process thereof as well as semiconductor device
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6458696B1 (en) * 2001-04-11 2002-10-01 Agere Systems Guardian Corp Plated through hole interconnections
JP4053257B2 (ja) * 2001-06-14 2008-02-27 新光電気工業株式会社 半導体装置の製造方法
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
KR20040060919A (ko) 2001-08-24 2004-07-06 엠씨엔씨 리서치 앤드 디벨럽먼트 인스티튜트 관통 바이어형 수직 상호접속부, 관통 바이어형 히트 싱크및 관련 제작 방법
US6586043B1 (en) * 2002-01-09 2003-07-01 Micron Technology, Inc. Methods of electroless deposition of nickel, methods of forming under bump metallurgy, and constructions comprising solder bumps
US6622907B2 (en) * 2002-02-19 2003-09-23 International Business Machines Corporation Sacrificial seed layer process for forming C4 solder bumps
US6593224B1 (en) * 2002-03-05 2003-07-15 Bridge Semiconductor Corporation Method of manufacturing a multilayer interconnect substrate
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
JP2004031586A (ja) * 2002-06-25 2004-01-29 Sony Corp 半導体装置の製造方法
KR100458767B1 (ko) * 2002-07-04 2004-12-03 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US6593221B1 (en) * 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
US20040149689A1 (en) * 2002-12-03 2004-08-05 Xiao-Shan Ning Method for producing metal/ceramic bonding substrate
US20040108136A1 (en) * 2002-12-04 2004-06-10 International Business Machines Corporation Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel
JP2004228392A (ja) * 2003-01-24 2004-08-12 Seiko Epson Corp 半導体装置の製造方法および半導体モジュールの製造方法
US6974776B2 (en) * 2003-07-01 2005-12-13 Freescale Semiconductor, Inc. Activation plate for electroless and immersion plating of integrated circuits
DE10337569B4 (de) * 2003-08-14 2008-12-11 Infineon Technologies Ag Integrierte Anschlussanordnung und Herstellungsverfahren
US7019402B2 (en) * 2003-10-17 2006-03-28 International Business Machines Corporation Silicon chip carrier with through-vias using laser assisted chemical vapor deposition of conductor
US8084866B2 (en) * 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
JP3990347B2 (ja) * 2003-12-04 2007-10-10 ローム株式会社 半導体チップおよびその製造方法、ならびに半導体装置
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7230318B2 (en) * 2003-12-24 2007-06-12 Agency For Science, Technology And Research RF and MMIC stackable micro-modules
US7316063B2 (en) * 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7498647B2 (en) * 2004-06-10 2009-03-03 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US7232754B2 (en) * 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7279407B2 (en) 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
EP2668297A4 (en) * 2011-01-28 2015-04-08 Commw And Scient And Ind Res Organisation DIAGNOSIS AND FORECAST ASSAY FOR A PASSENGER OR EVENT IN THE VACUUM SYSTEM

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120130211A (ko) * 2010-02-19 2012-11-29 가부시끼가이샤 아라이도 마테리아루 금속 적층 구조체 및 금속 적층 구조체의 제조 방법

Also Published As

Publication number Publication date
US20090176362A1 (en) 2009-07-09
US20140154879A1 (en) 2014-06-05
US9640433B2 (en) 2017-05-02
TWI303674B (en) 2008-12-01
US10062608B2 (en) 2018-08-28
US20060046088A1 (en) 2006-03-02
JP2008511992A (ja) 2008-04-17
US20070132105A1 (en) 2007-06-14
EP1786951A2 (en) 2007-05-23
EP1786951B1 (en) 2019-11-06
US7855454B2 (en) 2010-12-21
JP4893965B2 (ja) 2012-03-07
US20180358263A1 (en) 2018-12-13
WO2006028715A3 (en) 2007-09-07
US7279407B2 (en) 2007-10-09
WO2006028715A2 (en) 2006-03-16
US20170283954A1 (en) 2017-10-05
TW200613585A (en) 2006-05-01
US8647982B2 (en) 2014-02-11
US20070004200A1 (en) 2007-01-04
KR100929060B1 (ko) 2009-11-30
US10446440B2 (en) 2019-10-15

Similar Documents

Publication Publication Date Title
KR100929060B1 (ko) 알루미늄, 구리 및 텅스텐 구조체의 선택적인 니켈 도금
US6396148B1 (en) Electroless metal connection structures and methods
US7772115B2 (en) Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US8324101B2 (en) Semiconductor dice including at least one blind hole, wafers including such semiconductor dice, and intermediate products made while forming at least one blind hole in a substrate
TWI299195B (en) Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US20060205182A1 (en) Method for manufacturing semiconductor device
US7190052B2 (en) Semiconductor devices with oxide coatings selectively positioned over exposed features including semiconductor material
US20030216025A1 (en) Wafer level electroless copper metallization and bumping process, and plating solutions for semiconductor wafer and microchip
JP5649322B2 (ja) 半導体装置および半導体装置の製造方法
JP2001319928A (ja) 半導体集積回路装置およびその製造方法
JP2006516824A (ja) ウエハ・スクライブ領域の金属低減
US20220157740A1 (en) Package structures with built-in emi shielding
EP1077485B1 (en) Method to deposit a platinum seed layer for use in selective copper plating
KR20000011968A (ko) 전자구성요소의제조방법
US7425278B2 (en) Process of etching a titanium/tungsten surface and etchant used therein
JP2003332417A (ja) 半導体チップの製造方法
KR0172042B1 (ko) 반도체 소자의 텅스텐 플러그 제조 방법
JP2007258233A (ja) 半導体装置の製造方法、半導体装置および回路基板
KR100295668B1 (ko) 반도체장치의범프제조방법
JP2008034704A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181030

Year of fee payment: 10