JP4893965B2 - アルミニウム、銅、およびタングステンの構造の選択的ニッケルめっき - Google Patents

アルミニウム、銅、およびタングステンの構造の選択的ニッケルめっき Download PDF

Info

Publication number
JP4893965B2
JP4893965B2 JP2007530117A JP2007530117A JP4893965B2 JP 4893965 B2 JP4893965 B2 JP 4893965B2 JP 2007530117 A JP2007530117 A JP 2007530117A JP 2007530117 A JP2007530117 A JP 2007530117A JP 4893965 B2 JP4893965 B2 JP 4893965B2
Authority
JP
Japan
Prior art keywords
nickel
tungsten
bond pad
plating
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007530117A
Other languages
English (en)
Other versions
JP2008511992A (ja
Inventor
アクラム,サルマン
ワーク,ジェイムズ・エム
ハイアット,ウィリアム・エム
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008511992A publication Critical patent/JP2008511992A/ja
Application granted granted Critical
Publication of JP4893965B2 publication Critical patent/JP4893965B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1637Composition of the substrate metallic substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1831Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1834Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/54Contact plating, i.e. electroless electrochemical plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12528Semiconductor component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)

Description

発明の詳細な説明
技術分野
本発明は半導体の製造に関する。より具体的には、本発明はアルミニウム、銅、またはタングステンの構造をニッケルで選択的にめっきする方法に関する。
背景
集積回路を有する半導体デバイスは、フォトリソグラフィを用いて様々なその他のプロセスを組み合わせて、半導体ウエーハの上に多数の同一の回路パターンを組み付けることによって製造される。半導体デバイスの収量の増大とその性能の向上を達成するために、所定のサイズの半導体基板の上に組み付けられる半導体デバイスの密度を増大させることが、半導体製造業者の絶え間のない目標である。近年において、半導体の組立てにおいて半導体デバイスの密度を増大させる努力も強められている。半導体の組立てにおいて半導体デバイスの密度を増大させるための一つの手段は、半導体のダイを互いに積層させることである。半導体のダイの中にビアホールすなわちスルーホールを形成することによって、半導体のダイは相互に連結される。ビアは導電性の材料で充填され、それにより半導体のダイの活性表面の上に組み付けられた集積回路にビアが電気的に接続される。従って、ビアは半導体ダイの活性表面からそれぞれの裏面への導電性通路を与え、それにより別の半導体ダイまたはキャリア基板の外部電気接点への半導体ダイの裏面の相互接続を可能にする。ビアはエッチング、レーザーによる削摩(ablation)または孔あけ、あるいはこれらの組合せによって形成される。ビアをエッチングするには、フォトレジストのフォトリソグラフィ加工と、それに続くウエット(化学)エッチングまたはドライ(反応性イオン)エッチングを用いる。レーザーによる孔あけは、半導体材料を除去することによってビアを形成し、それにより半導体ダイの全体の厚さを通して延びるスルーホールを形成するために用いられてきた。
ビアは、半導体ダイ上の様々な金属の相互接続構造(例えばアニュラーリング、ボンドパッド、コンポーネントリード、メタルワイヤ、またはその他の金属層など)を互いに電気的に接続する。半導体ダイ上のボンドパッドは典型的に、アルミニウム、銅、または約0.5%未満の銅を有するアルミニウム-銅合金から形成される。アルミニウムは、その低い抵抗率、優れた接着特性、高い熱安定性、および加工の容易さのために、ボンドパッドにおいて用いられる。しかし、アルミニウムの一つの不利な点は、それが容易に酸化して酸化アルミニウムを形成することであり、これによりボンドパッドの電気的接続の品質と効率が低下する。ボンドパッドを保護するために、アルミニウムはエッチングされて酸化アルミニウムが除去され、そしてニッケル層などのバリヤーメタルで被覆される。タングステンも相互接続材料として一般的に用いられ、その理由は、タングステンはシリコンのものと近似した熱膨張率を有し、また高いアスペクト比を有する半導体構造において良好な充填能を有するためである。タングステンは典型的に、ビアを形成する間に生成される開口を充填するか、あるいは開口の表面を裏打ちするために用いられる。次いで、ビアにおけるタングステンの裏打ちは、ニッケル層などの金属層で被覆される。ボンドパッドは典型的には、ビアがニッケルでめっきされた後に、ニッケルめっきされる。というのは、酸化アルミニウムを除去するために用いられるエッチング液が、ビアの内側からニッケルめっきをも除去するからである。
発明の開示
本発明は、中間の(intermediate)半導体デバイス構造の上にニッケルを選択的にめっきする方法に関する。この方法は、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造を半導体基板の上に有する中間の半導体デバイス構造を用意することを含む。少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの一方はニッケルめっきされ、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造の他方はめっきされないまま残る。ニッケルは無電解めっきされてもよい。少なくとも一つのアルミニウムまたは銅の構造は少なくとも一つのアルミニウムまたは銅のボンドパッドであってもよく、そして少なくとも一つのタングステンの構造は内部にタングステンの層を有する少なくとも一つのビアであってもよい。一つの態様において、少なくとも一つのアルミニウムまたは銅のボンドパッドはニッケルめっきされてもよく、一方、内部にタングステンの層を有する少なくとも一つのビアはめっきされないまま残る。別の態様において、内部にタングステンの層を有する少なくとも一つのビアはニッケルでめっきされてもよく、一方、少なくとも一つのアルミニウムまたは銅のボンドパッドはめっきされないまま残る。
少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの一方はめっきされてもよく、他方はアルミニウム、銅、およびタングステンのうちの一つに対して選択的なニッケルめっきの化学剤(chemistry)を選択することによってめっきされないまま残る。少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの一方をニッケルめっきして他方をめっきされないまま残すために、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの一つはニッケルめっきに対して活性化されてもよい。少なくとも一つのアルミニウムまたは銅の構造は、中間の半導体デバイス構造を亜鉛酸塩(zincate)の溶液に晒すことによって活性化することができる。亜鉛酸塩溶液は酸化亜鉛と水酸化ナトリウムを含む水溶液であってもよい。少なくとも一つのタングステンの構造は、中間の半導体デバイス構造をパラジウムの溶液に晒すことによってニッケルめっきに対して活性化されてもよい。パラジウム溶液はパラジウム(II)イオンを含む水溶液であってもよい。
少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの活性化したものは、硫酸ニッケル、塩化ニッケル、硫酸ニッケル、臭化ニッケル、フロオロホウ酸ニッケル、スルホン酸ニッケル、スルファミン酸ニッケル、およびニッケルアルキルスルホネートからなる群から選択されるニッケル塩と、次亜リン酸ナトリウム、ジメチルアミンボラン、ホウ水素化ナトリウム、およびジメチルアミノベンズ-アルデヒド(「DMAB」)からなる群から選択される還元剤とを含む無電解ニッケルめっき溶液の中に中間の半導体デバイス構造を浸漬することによってニッケルめっきされてもよい。少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちのめっきされていないものは、このめっきされていない構造を活性化し、次いでこの活性化した構造をニッケルめっきすることによって、後にニッケルめっきされてもよい。
本発明はまた、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造を有する半導体基板を含む中間の半導体デバイス構造に関する。少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの一方はニッケルでめっきされ、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造の他方はめっきされないまま残る。少なくとも一つのアルミニウムまたは銅の構造は少なくとも一つのアルミニウムまたは銅のボンドパッドであってもよく、そして少なくとも一つのタングステンの構造は内部にタングステンの層を有する少なくとも一つのビアであってもよい。内部にタングステンの層を有する少なくとも一つのビアは、スルーウエーハ相互接続あるいはブラインドウエーハ相互接続とすることができる。
本発明はまた、上に第一のニッケル層がめっきされた少なくとも一つのアルミニウムまたは銅の構造と上に第二のニッケル層がめっきされた少なくとも一つのタングステンの構造とを有する半導体基板を含む中間の半導体デバイス構造に関する。第一のニッケル層と第二のニッケル層のうちの少なくとも一つは、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造のうちの一つに対して選択的なニッケルめっきの化学剤を配合することによって形成される。少なくとも一つのアルミニウムまたは銅の構造は少なくとも一つのアルミニウムまたは銅のボンドパッドであってもよく、そして少なくとも一つのタングステンの構造は内部にタングステンの層を有する少なくとも一つのビアであってもよい。内部にタングステンの層を有する少なくとも一つのビアは、スルーウエーハ相互接続あるいはブラインドウエーハ相互接続とすることができる。
少なくとも一つのアルミニウムまたは銅の構造に対して選択的なニッケルめっきの化学剤は、アルミニウムまたは銅の活性剤と無電解ニッケルめっき溶液を含んでいてもよい。アルミニウムまたは銅の活性剤は前述したように亜鉛酸塩溶液であってもよく、また無電解ニッケルめっき溶液は前述したものであってもよい。少なくとも一つのタングステンの構造に対して選択的なニッケルめっきの化学剤は、タングステンの活性剤と無電解ニッケルめっき溶液を含んでいてもよい。タングステンの活性剤は前述したようにパラジウム溶液であってもよく、また無電解ニッケルめっき溶液は前述したものであってもよい。
本発明はまた、中間の半導体デバイス構造の上にニッケルをめっきする方法に関する。この方法は、少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造を半導体基板の上に有する中間の半導体デバイス構造を用意することを含む。少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造は、ニッケルで同時にめっきされる。少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造は、この少なくとも一つのアルミニウムまたは銅の構造と少なくとも一つのタングステンの構造の表面を活性化することによってニッケルめっきされてもよい。少なくとも一つのアルミニウムまたは銅の構造の表面を活性化するために、中間の半導体デバイス構造は、前述したように亜鉛酸塩溶液に晒してもよい。少なくとも一つのタングステンの構造の表面を活性化するために、中間の半導体デバイス構造は、前述したようにパラジウム溶液に晒してもよい。活性化した少なくとも一つのアルミニウムまたは銅の構造と活性化した少なくとも一つのタングステンの構造は、前述したように、無電解ニッケルめっき溶液の中に中間の半導体デバイス構造を浸漬することによってニッケルで同時にめっきされる。
本明細書は、発明とみなされるものを特に指摘しそして明確に権利請求している特許請求の範囲をもって完結するが、本発明の利点は、本発明についての以下の説明を添付図面とともに読むことによって、より容易に確かめることができる。
発明を実施するための最良の形態
半導体基板の上に存在するアルミニウムの構造とタングステンの構造のいずれかの上にニッケルを選択的にめっきする方法が開示される。半導体基板はアルミニウムの構造とタングステンの構造の両方を有する。一つの態様において、タングステンの構造の上にニッケルを付着させることなく、アルミニウムの構造がニッケルでめっきされる。アルミニウムの構造は、アルミニウムに対して選択的なニッケルめっきの化学剤を用いてニッケルめっきされる。ここで用いるとき、「ニッケルめっきの化学剤(nickel plating chemistry)」という用語は、構造を洗浄するか、構造を活性化するか、あるいは構造をニッケルでめっきするために用いられる化学剤を含む。別の態様において、タングステンに対して選択的なニッケルめっきの化学剤を用いて、アルミニウムの構造をニッケルめっきすることなく、タングステンの構造がニッケルでめっきされてもよい。別の態様において、タングステンの構造とアルミニウムの構造がニッケルで実質的に同時にめっきされてよい。
ニッケルは、アルミニウムの構造とタングステンの構造のいずれかの上に無電解めっきによって付着されてもよい。ニッケルを付着させるために、アルミニウムの構造とタングステンの構造のいずれかは、アルミニウムとタングステンのいずれかに対して選択的な活性剤に構造を晒すことによって、ニッケルめっきに対して活性化されてもよい。例えば、アルミニウムの構造がニッケルめっきされるべきである場合、活性剤はアルミニウムに対して選択的であってよい。反対に、タングステンの構造がニッケルめっきされるべきである場合、活性剤はタングステンに対して選択的であってよい。次いで、活性化した構造の表面をニッケルでめっきすることができ、一方、活性化しない構造の表面はめっきされないまま残る。めっきされていない表面は、このめっきされていない表面に対して選択的な別のニッケルめっき化学剤(例えば別の活性剤)に構造を晒すことによって、後にニッケルでめっきされてもよい。めっきされていない表面は、活性化したならば、ニッケルめっきすることができる。
アルミニウムまたはタングステンに対して選択的な活性剤に構造を晒すことによって、アルミニウムの構造またはタングステンの構造を活性化して、そしてニッケルでめっきすることができ、一方、活性化しない構造はめっきされないまま残る。例えば、アルミニウムに対して選択的な活性剤に構造を晒した場合、タングステンの構造をニッケルめっきすることなく、アルミニウムの構造の上にニッケルを無電解めっきすることができる。アルミニウムの構造をニッケルめっきした後、別のニッケルめっき化学剤を用いてタングステンの構造の上にニッケルをめっきすることができる。同様に、タングステンに対して選択的な活性剤に構造を晒した場合、アルミニウムの構造をめっきすることなく、タングステンの構造の上にニッケルを無電解めっきすることができる。続いて、別のニッケルめっき化学剤を用いて、アルミニウムの構造の上にニッケルをめっきすることができる。
ここに開示される態様は、アルミニウムの構造はアルミニウムのボンドパッドであってタングステンの構造はタングステンで裏打ちされたビアであるものを記述するが、本発明は、追加のアルミニウムまたはタングステンの構造の上にニッケルを選択的にめっきするために用いることもできる。
少なくとも一つのアルミニウムの構造と少なくとも一つのタングステンの構造を有する中間の半導体デバイス構造2の上に、ニッケルを選択的にめっきすることができる。図1と図2に示すように、タングステンの構造はビア4であってもよく、アルミニウムの構造はボンドパッド6であってもよい。「ビア」という用語は、ここで用いるとき、半導体基板8における開口であって、半導体基板8を貫く導電性の通路を与えるために導電性材料で後に充填されるかまたは裏打ちされるものを指す。明快にするために、図1と図2では一つのビア4と二つのボンドパッド6が示されている。しかし、中間の半導体デバイス構造2は、多数のビア4と多数のボンドパッド6を有していてもよい。ビア4とボンドパッド6は両者とも、中間の半導体デバイス構造2の外側表面または露出した表面上に存在していてもよく、従って両者とも露出していてもよい。ボンドパッド6は、半導体基板8の上に慣用の方法によってアルミニウムなどの導電性金属から形成することができる。ボンドパッド6は約1.0μmから約1.5μmまでの範囲の厚さを有していてもよい。ビア4は導電性の通路を与えるために導電性材料で充填されるかまたは裏打ちされてもよい。ビア4は、図1に示すように半導体基板8の全体の厚さを貫いて延びていてもよいし、あるいは図2に示すように半導体基板8の中で盲孔(ブラインドホール)を形成していてもよい。ビア4が半導体基板8の層を貫いて延びている場合、ビア4はスルーウエーハ相互接続(through-wafer-interconnect:TWI)と呼ぶことができる。TWIは、半導体基板の一方の側にある集積回路を反対側にある別の要素または装置へ電気的に接続するだろう。ビア4が半導体基板の中で盲孔を形成している場合、ビア4はブラインドウエーハ相互接続(blind-wafer-interconnect:BWI)と呼ぶことができる。
中間の半導体デバイス構造2はまた、図3に示すように、半導体基板8、この半導体基板8の表面を覆う第一の酸化物層10、およびこの第一の酸化物層10の一部を覆うパッシベーション層12を有していてもよい。半導体基板8は、半導体の材料からなる層を含む半導体ウエーハまたはその他のバルク基板であってもよい。ここで用いられる「バルク基板(bulk substrate)」という用語は、シリコンのウエーハ(例えば単結晶シリコンまたは多結晶シリコン)だけでなく、絶縁体上のシリコン(「SOI」)基板、サファイア上のシリコン(「SOS」)基板、ガラス上のシリコン(「SOG」)基板、ベースの半導体基材上のシリコンのエピタキシャル層、および例えばシリコン-ゲルマニウム、ゲルマニウム、ルビー、石英、サファイア、ガリウムヒ素、ダイヤモンド、炭化ケイ素、あるいはインジウムリンなど、その他の半導体材料も含む。第一の酸化物層10は、ケイ酸リンガラス(「PSG」)、ホウケイ酸ガラス(「BSG」)、ホウリンケイ酸ガラス(「BPSG」)、またはスピンオン誘電体(「SOD」)の層などの酸化シリコン層であってもよい。一つの態様において、第一の酸化物層10はBPSG層である。パッシベーション層12は、二酸化ケイ素(「SiO2」)、窒化ケイ素(「SiN」)、酸窒化ケイ素、BPSG、PSG、BSG、ポリイミド、ベンゾシクロブテン、これらの混合物、または当分野で知られているその他の非導電性材料から形成することができる。一つの態様において、パッシベーション層12は当分野で知られているSiO2とSiNのサンドイッチ構造である。パッシベーション層12は、およそ0.5μmから10μmまでの範囲の厚さを有していてもよい。第一の酸化物層10とパッシベーション層12は、半導体基板8の上に慣用の方法によって前もって形成されていてもよい。
ビア4がTWIである場合、図3〜図7に示すように、半導体基板8の層を貫いて延びる少なくとも一つの開口14を形成することによって、ビア4を中間の半導体デバイス構造2に形成することができる。開口14は、レーザーによる孔あけまたは削摩、反応性イオンエッチングなどのドライエッチング、光化学エッチング、マスキングと異方性エッチング、機械的な孔あけ、あるいは半導体基板8に開口を形成するためのあらゆるその他の公知のプロセスによって形成することができる。例示の目的だけのために説明すると、ボンドパッド6とパッシベーション層12の上にフォトレジスト層16を付着させることによって、開口14を形成することができる。図4に示すように、フォトレジスト層16をエッチングすることにより、ボンドパッド6の少なくとも一部を露出させることができる。フォトレジスト層16は一般的なフォトレジストであってもよく、慣用の方法によって付着される。ボンドパッド6の露出した部分を除去することにより、第一の酸化物層10の少なくとも一部を露出させることができる。図5に示すように、第一の酸化物層10の露出した部分を除去することによって半導体基板8の一部を露出させることができ、次いで、図6に示すように、基板8を除去することによって開口14が形成される。次いで、図7に示すように、フォトレジスト層16の残りの部分を除去することができる。あるいは、第一の酸化物層10の露出した部分と下にある半導体基板8の部分を実質的に同時にエッチングすることによって、開口14を形成してもよい。形成された開口14は、およそ4:1(基板の厚さ:ビアの直径)からおよそ30:1までの範囲の高いアスペクト比を有する。
あるいは、開口14は、当分野で知られているようなウエットエッチングの化学剤を用いてボンドパッド6を貫く孔をエッチングすることによって形成してもよい。反応性イオンエッチング(「RIE」)などのドライ酸化物エッチングを用いて孔を延ばし、それによって第一の酸化物層10をエッチングし、そして半導体基板8を露出させてもよい。水酸化テトラメチルアンモニウム(「TMAH」)とプロピレングリコールなどの有機溶媒の溶液を含むウエットエッチングの化学剤を用いると、半導体基板8に小さなくぼみが形成されるかもしれない。くぼみの大きさは約10μmから約15μmまでの範囲であろう。エッチング溶液は、約1重量%から約10重量%のTMAHと約90重量%から約99重量%の有機溶媒を含んでいてもよい。一つの態様において、エッチング溶液は約6%のTMAHと約94%のプロピレングリコールを含む。
孔は、半導体基板8の一部をレーザーで削摩することによって半導体基板8を貫いて延ばしてもよい。半導体基板8の裏面からくぼみに向けてレーザービームを当てることによって、半導体基板8をレーザーで削摩してもよい。くぼみは、レーザービームによって集積回路の活性表面から生成するエネルギーを保存するだろう。レーザービームからのエネルギーは半導体基板8の一部を削摩し、孔を延ばし、そして開口14を形成するだろう。しかし、レーザービームからのエネルギーは半導体基板8を開口14の周囲で過度に加熱して、熱影響部(「HAZ」)を生成させるかもしれない。この熱影響部とは、開口14の周囲のシリコンが損傷した領域である。HAZの材料は一般に「スラグ」と呼ばれていて、シリコンと酸素を含むだろう。半導体基板8に形成されたくぼみは、集積回路の活性表面上にスラグが付着するのを防ぎもするだろう。開口14が十分な幅または直径を有して必要な導電性通路が形成されるように、HAZは除去されるかもしれない。前述のTMAHとプロピレングリコールのエッチング溶液を用いてHAZを除去することができる。このエッチング溶液は、ボンドパッド6または第一の酸化物層10など、集積回路の他の部分を損傷させることなく、HAZを選択的に除去するだろう。
フォトレジスト層16、ボンドパッド6の露出した部分、第一の酸化物層10の露出した部分、および半導体基板8の露出した部分は、通常のドライエッチングの化学剤、通常のウエットエッチングの化学剤、またはレーザーエッチングを用いることによって、中間の半導体デバイス構造2から除去することができる。エッチングの方法と条件は、これらの層の各々において用いられる材料に基づいて、当業者が選択することができる。従って、エッチングの方法と条件については、ここで詳細には説明しない。例示の目的だけのために説明すると、第一の酸化物層10は、テトラフルオロメタン(「CF4」)の化学剤またはトリフルオロメタン(「CHF3」)と酸素(「O2」)の化学剤を利用するプラズマエッチングを用いてエッチングすることができる。あるいは、第一の酸化物層10は、稀薄なフッ化水素(「HF」)の水溶液を用いてエッチングすることができる。半導体基板8は、NF3 、HBr/Cl、C2F4 、またはSF6の化学剤を利用するプラズマエッチングを用いてエッチングすることができる。
開口14を形成するときに露出する半導体基板8の部分を密封するために、開口14を第二の酸化物層18で裏打ちしてもよい。第二の酸化物層18は低応力または低Siの酸化物(「LSO」)から形成することができ、これは一般的な方法によって半導体基板8の上に付着される。第二の酸化物層18は、0.1μmから約5μmまでの範囲の厚さ、例えば約1μmから約2μmまでの範囲の厚さで付着させてもよい。第二の酸化物層18の上にタングステンの層20を形成してもよく、これは後に上にニッケルを付着させるための種層を与える。タングステンの層20は約0.02μmから約1μmまでの範囲の厚さを有していてもよい。タングステン層20は、当分野で知られている原子層堆積(「ALD」)、無電解堆積、電気めっき、化学気相成長(「CVD」)、プラズマCVD(「PECVD」)、または物理気相成長(「PVD」)によって形成することができる。場合により、タングステン層20が第二の酸化物層18により強固に付着するように、タングステン層20と第二の酸化物層18の間に粘着性の層が存在していてもよい。粘着性の層はALD、CVD、PECVD、PVD、真空蒸発、またはスパッタリングによって形成することができる。一つの態様において、粘着性の層は窒化チタン(「TiN」)から形成される。粘着性の層は約50Åから約200Åまでの範囲の厚さを有していてもよい。粘着性の層は、タングステン層20の厚さに応じて開口14の中で用いることができる。タングステン層20が約500Å未満の厚さである場合、タングステン層20を第二の酸化物層18に付着させるために粘着性の層は必要ではないだろう。しかし、タングステン層20が約500Åを超える厚さである場合、タングステン層20を第二の酸化物層18に適切に付着させるために粘着性の層を用いてもよい。
ビア4がBWIである場合、図2に示すように、半導体基板8の中に選ばれた深さだけ延びる少なくとも一つの開口14を形成することによって、ビア4を中間の半導体デバイス構造2に形成することができる。この場合、開口14は上述したようにして形成することができるが、ただし、開口14は半導体基板8の全体の厚さを貫いて延びてはいない。開口14は、上述したように第二の酸化物層18とタングステン層20で裏打ちしてもよい。
図8と図9に示すように、中間の半導体デバイス構造2の第二の酸化物層18、タングステン層20、および(存在する場合)粘着性の層をエッチングすることによって、ボンドパッド6とパッシベーション層12の上にあるこれらの層の部分を除去することができる。第二の酸化物層18とタングステン層20の部分をビア4の中に残してもよい。このエッチング工程をここでは「スペーサーエッチング」と呼ぶ。第二の酸化物層18、タングステン層20、および(存在する場合)粘着性の層を除去するために用いられるエッチングの方法と条件は、これらの層における材料に基づいて、当業者が選択することができる。従って、それらについては、ここで詳細には説明しない。例示の目的だけのために説明すると、タングステン層20と(存在する場合)粘着性の層は通常のドライエッチングを用いて除去することができる。第二の酸化物層18は通常のウエットエッチングを用いて除去することができる。
次いで、図10と図11に示すように、タングステン層20の上にはニッケルをめっきせずに、露出したボンドパッド6の上に第一のニッケル層22をめっきすることができる。第一のニッケル層22を形成するために、ボンドパッド6の表面を洗浄することによって酸化アルミニウムを除去してもよい。例えば、酸化アルミニウムを除去するために用いられる洗浄溶液は水酸化ナトリウム(「NaOH」)と硝酸(「HNO3」)またはリン酸の水溶液であろう。NaOHはボンドパッド6の表面上で酸化アルミニウムを分解し、一方、HNO3またはリン酸はボンドパッド6の表面をエッチングして、最終的に上に第一のニッケル層22がめっきされる清浄なアルミニウム表面を与えるだろう。洗浄溶液は約1%〜約5%のNaOHと約50%のHNO3 、および残部の水を含んでいてもよい。中間の半導体デバイス構造2を洗浄溶液の中に浸漬するか、あるいは中間の半導体デバイス構造2に洗浄溶液を噴霧することによって、ボンドパッド6を洗浄してもよい。次いで、ボンドパッド6を脱イオン水ですすぐことによって、NaOHとHNO3またはリン酸を除去することができる。ボンドパッド6の上に形成された酸化アルミニウムを除去するために、当分野で知られているその他のウエットエッチングを用いることもできる。
酸化アルミニウムを除去した後、アルミニウムに対して選択的な活性剤を用いて、ボンドパッド6の表面をニッケルめっきに対して活性化してもよい。アルミニウムの活性剤はアルミニウムに対して選択的であるので、タングステン層20の表面はニッケルめっきに対して活性化しないだろう。アルミニウムの活性剤は、酸化亜鉛(ZnO)などの亜鉛源物質とNaOHまたはその他のアルカリ金属水酸化物などの苛性アルカリ塩基を含む亜鉛酸塩(zincate)の水溶液であってもよい。アルカリ性のpHにおいて、亜鉛源物質は亜鉛酸塩溶液の中にZn(OH)4として存在するだろう。ボンドパッド6の表面上に亜鉛含有層が付着するのに十分な時間にわたって、中間の半導体デバイス構造2を亜鉛酸塩溶液に晒してもよい。亜鉛含有層は、亜鉛で富化した層からなるおよそ一つの単層からおよそ二つの単層までを含むだろう。亜鉛含有層は第一のニッケル層22に対する種層として機能するだろう。中間の半導体デバイス構造2は、約10秒から約1分までにわたって亜鉛酸塩溶液に晒してもよい。亜鉛酸塩溶液はLeaRonal Inc.(バッファロー、NY)またはPacTech GmbH(ベルリン、ドイツ)など、様々な製造業者から商業的に入手できる。中間の半導体デバイス構造2をアルミニウムの活性剤の中に浸漬するか、あるいは中間の半導体デバイス構造2にアルミニウムの活性剤を噴霧することによって、中間の半導体デバイス構造2のボンドパッド6を活性化することができる。アルミニウムの活性剤を約20℃から約40℃までの範囲の温度に維持してもよい。
ボンドパッド6がニッケルめっきに対して活性化されたならば、中間の半導体デバイス構造2を脱イオン水ですすぎ、そして無電解ニッケルめっき溶液を収容している浴の中に浸漬することによって、第一のニッケル層22を形成することができる。第一のニッケル層22は、溶融したはんだでビア4がより効果的に充填されるのを可能にする湿潤層として作用するだろう。また第一のニッケル層22はバリヤー層としても作用するだろう。無電解ニッケルめっき溶液は、無電解ニッケル-リンめっき溶液または無電解ニッケル-ホウ素めっき溶液などが当分野で知られている。そのような無電解ニッケルめっき溶液は、PacTech GmbH(ベルリン、ドイツ)から入手できるNicPac 2.1、 NicPac 2.2 、およびNicPac 2.3や、Rohm and Haas Electronic Materials(フィラデルフィア、PA)から入手できるRonamax(登録商標)SMT、Duraposit(登録商標)、Niposit(登録商標)、Niplate(商品名)などが商業的に入手できる。これらの無電解ニッケルめっき溶液は、ニッケルイオンの供給源として硫酸ニッケル、塩化ニッケル、硫酸ニッケル、臭化ニッケル、フロオロホウ酸ニッケル、スルホン酸ニッケル、スルファミン酸ニッケル、およびニッケルアルキルスルホネートなどのニッケル塩を含む。無電解ニッケルめっき溶液は、リン化合物またはホウ素化合物などの還元剤も含んでいてもよい。還元剤の例としては、次亜リン酸ナトリウム、ジメチルアミンボラン、ホウ水素化ナトリウム、およびDMABがある。また無電解ニッケルめっき溶液は、溶液のpHを維持するためのNaOHと、クエン酸、乳酸、またはリンゴ酸などの錯化剤も含んでいてもよい。無電解ニッケルめっき溶液のpHは、約4から約6までに維持されてもよい。無電解めっきを行う間、還元剤の酸化によってニッケルイオンはニッケルに還元される。一つの態様において、無電解ニッケルめっき溶液は、塩化ニッケル、ヒドロキシ酢酸ナトリウム、および次亜リン酸ナトリウムを含む。別の態様において、無電解ニッケルめっき溶液は硫酸ニッケルと次亜リン酸ナトリウムを含む。浴中の無電解ニッケルめっき溶液は、約60℃から約100℃までの範囲の温度、例えば約80℃から約90℃までの範囲に維持してもよい。
ボンドパッド6の上の第一のニッケル層22の厚さは、無電解ニッケルめっき溶液中のニッケルの濃度と中間の半導体デバイス構造2を無電解ニッケルめっき溶液の中に浸漬させる時間の長さに依存するだろう。第一のニッケル層22は約500Åから約10μmの範囲の厚さを有していてもよい。一つの態様において、第一のニッケル層22は約1μmの厚さを有する。中間の半導体デバイス構造2を無電解ニッケルめっき溶液の中に、ボンドパッド6の上に所望の厚さの第一のニッケル層22が付着するのに十分な時間にわたって、例えば約1分から約5分の間、浸漬させてもよい。ニッケルめっきを行う間に、ボンドパッド6の上の亜鉛含有層は第一のニッケル層22で置き換えられるかもしれない。ニッケルめっきの化学剤はアルミニウムに対して選択的であるために、ボンドパッド6は実質的にニッケルでめっきされ、一方、ビア4の中のタングステン層20の上にはニッケルは実質的にめっきされないだろう。
銅の上に直接めっきするようにニッケルめっきの化学剤が用いられるので、タングステン層20をニッケルめっきすることなく、銅から形成されるボンドパッド6はニッケルで選択的にめっきされるだろう。アルミニウムのボンドパッド6に関して上述したようにして、銅から形成されるボンドパッド6の活性化が実質的に起こるだろう。あるいは、銅のボンドパッド6は、亜鉛酸塩溶液で活性化されるのではなく、ニッケルめっきする前にパラジウムの溶液で活性化されるかもしれない。
ボンドパッド6の上に第一のニッケル層22が形成された後、図12と図13に示すように、開口14の中で第二のニッケル層24を付着させることによって、ビア4を裏打ちしてもよい。第二のニッケル層24は、溶融したはんだでビア4がより効果的に充填されるのを可能にする湿潤層として作用するだろう。第二のニッケル層24は、最初にタングステン層20から酸化物を除去した後に、タングステン層20の上に付着させてもよい。タングステン層20は、水酸化カリウム(「KOH」)の水溶液を用いるなど、化学的に洗浄することができる。
次いで、タングステンに対して選択的な活性剤を用いて、タングステン層20をニッケルめっきに対して活性化してもよい。タングステンの活性剤はタングステンに対して選択的であるので、ボンドパッド6はニッケルめっきに対して活性化しないだろう。タングステンの活性剤は、パラジウム(II)イオンを含むパラジウム水溶液であってもよい。タングステン層20の表面上にパラジウムの薄い層が付着するのに十分な時間にわたって、中間の半導体デバイス構造2をタングステンの活性剤に晒してもよい。例えば、中間の半導体デバイス構造は、約10秒から約1分までにわたってタングステンの活性剤に晒してもよい。中間の半導体デバイス構造2をタングステンの活性剤の中に浸漬するか、あるいは中間の半導体デバイス構造2にタングステンの活性剤を噴霧することによって、中間の半導体デバイス構造2のタングステン層20を活性化することができる。
タングステン層20がニッケルめっきに対して活性化された後、無電解ニッケルめっき溶液を収容している浴の中に中間の半導体デバイス構造2を浸漬することによって、タングステン層20の上に第二のニッケル層24を形成することができる。無電解ニッケルめっき溶液は一般的なニッケルめっき溶液であってもよい。無電解ニッケルめっき溶液は第一のニッケル層22をめっきするのに用いられたものと同じ無電解ニッケルめっき溶液であってもよく、あるいは異なる無電解ニッケルめっき溶液であってもよい。無電解ニッケルめっき溶液は前述した通りのものである。第二のニッケル層24は約500Åから約10μmの範囲の厚さを有していてもよい。一つの態様において、第二のニッケル層24は約3μmから約5μmの範囲の厚さを有する。中間の半導体デバイス構造2を無電解ニッケルめっき溶液の中に、ボンドパッド6の上に所望の厚さの第二のニッケル層24が付着するのに十分な時間にわたって、例えば約1分から約5分の間、浸漬させてもよい。ニッケルめっきを行う間に、タングステン層20の上のパラジウムの層は第二のニッケル層24で置き換えられるかもしれない。無電解ニッケルめっきの溶液はタングステンに対して選択的であるために、タングステン層20は実質的にニッケルでめっきされ、一方、ボンドパッド6の上にはニッケルは実質的にめっきされないだろう。
次いで、図14と図15に示すように、ビア4をはんだ26で充填することによって導電性の通路を与えることができる。はんだは、スズ、鉛、インジウム、アンチモン、銀、銅、およびこれらの混合物を含む一般的なはんだの配合物であってもよい。はんだはビア4を濡らすか、または充填することができるだろう。適当なはんだの配合物としては、限定するものではないが、95%鉛/5%スズ、60%鉛/40%スズ、63%インジウム/37%スズ、100%スズ、および62%鉛/36%スズ/2%銀が挙げられる。ビア4をはんだ26で充填するために、はんだ26が溶融するのに十分な温度、例えば180℃から約300℃までの温度にはんだ26を加熱してもよい。次いで、溶融したはんだをビア4の中あるいはボンドパッド6の近傍に付着させることができる。溶融したはんだをボンドパッド6の近傍に付着させた場合、それをビア4の中にリフロー(reflow:再溶融)させることにより導電性の通路を与えることができる。はんだ26をウエーブはんだ付け法を用いて付着させてもよく、これにおいては、ビア4がTWIである場合、中間の半導体デバイス構造2の裏面に溶融したはんだが塗布される。次いで、溶融したはんだは、ビア4の中に毛管作用と湿潤力によって引き込まれるだろう。
ビア4がBWIである場合、はんだボールなどのはんだの塊をビア4の入口に置き、これを溶融させ、そしてビア4の中に毛管作用によって引き込ませることができる。図16に示すように、半導体基板8の裏面を除去することによってビア4を露出させてもよい。当分野で知られているように、半導体基板8の裏面は裏面研削によって除去することができる。半導体基板8の裏面研削は化学的機械研磨(「CMP」)または研磨手段によって達成することができる。裏面を除去した後は、ビア4は半導体基板8の厚さを貫いて延びて、TWIを形成するだろう。従って、TWIは直接形成してもよいし、あるいは最初にBWIを形成した後に形成してもよい。
あるいは、図17に示すように、第一のニッケル層22をボンドパッド6の上を覆うように形成してもよい。第一のニッケル層22は前述したようにして形成することができる。次いで、図18に示すように、開口14を半導体基板8に形成することができる。開口14は前述したようにして形成することができる。次いで、第二の酸化物層18、タングステン層20、および場合により粘着性の層を開口14の中に付着させて、ビア4を形成することができる。図19に示すように、第二の酸化物層18、タングステン層20、および(存在する場合)粘着性の層を、中間の半導体デバイス構造2の表面からスペーサーエッチングを用いて除去してもよい。次いで、図20に示すように、タングステン層20の上に第二のニッケル層24をめっきすることができる。第二のニッケル層24は前述したようにしてめっきすることができる。次いで、図14に関して前述したようにして、ビア4をはんだ26で充填することができる。ビア4が形成される前にボンドパッド6の上に第一のニッケル層22を付着させることによって、開口14が形成された後に露出する半導体基板8の部分の上にニッケルを付着させなくてもよい。
タングステンの構造の上に第二のニッケル層24を付着させる前にアルミニウムの構造の上に第一のニッケル層22を付着させることによって、後続の加工処理工程の間、アルミニウムの構造を保護することができるだろう。例えば、第一のニッケル層22は、アルミニウムの構造がタングステンの活性剤に晒されることから保護するためのニッケルマスクとして作用するだろう。次いで、アルミニウムの構造をさらに保護することなく、タングステンの構造をニッケルめっきすることができる。さらに、アルミニウムの構造をニッケルめっきした後にタングステンの構造がニッケルめっきされるので、アルミニウムの構造をめっきするために用いられる洗浄、活性化、およびめっきの化学剤によってニッケルはタングステンの構造からは除去されない。言い換えると、酸化アルミニウムを除去するために用いられるエッチングの化学剤は、ビア4の内側から第二のニッケル層24の部分を除去しないだろう。
前述したように、別の態様において、アルミニウムの構造をニッケルめっきする前に、タングステンの構造がニッケルでめっきされる。前述したように、タングステンの構造をニッケルめっきに対して活性化して、次いでニッケルを付着させることによって、タングステンの構造をニッケルで選択的にめっきすることができる。次いで、前述したように、アルミニウムの構造をニッケルめっきに対して活性化して、次にニッケルを付着させることによって、アルミニウムの構造をニッケルめっきすることができる。
別の態様において、ニッケルめっきする前にタングステンの構造とアルミニウムの構造の両者の表面を活性化することによって、タングステンの構造とアルミニウムの構造は実質的に同時にニッケルでめっきされる。前述したように、アルミニウムの構造は、中間の半導体デバイス構造2を亜鉛酸塩溶液に晒すことによって活性化することができる。次いで、中間の半導体デバイス構造2をタングステンの活性剤に晒すことによって、タングステンの構造を活性化することができる。次いで、前述したように、活性化したタングステンの構造とアルミニウムの構造をニッケルめっきすることができる。
アルミニウムの構造とタングステンの構造のうちの一つをニッケルで選択的にめっきすることに加えて、本発明の方法は、銅の構造とタングステンの構造のうちの一つをニッケルで選択的にめっきするために用いることもできる。従って、ボンドパッド6は、銅から、またはアルミニウムと銅の混合物から形成してもよい。一般的なニッケルめっきの化学剤を銅の上に直接めっきすることができるので、銅から形成されるボンドパッド6をニッケルで選択的にめっきすることができる。
本発明は様々な修正や代替の形態を許容することができるけれども、図面における実施例を用いて特定の態様が示され、そして本明細書で詳細に説明された。しかし、本発明は開示された特定の形態に限定されることは意図されていない、と理解されるべきである。そうではなく、本発明は、添付した特許請求の範囲によって定義される発明の精神と範囲に含まれる全ての修正、同等物、および代替物に及ぶのである。
図1はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図2はブラインドウエーハ相互接続が形成されている集積回路の態様の断面図を概略的に示す。 図3はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図4はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図5はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図6はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図7はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図8はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図9はブラインドウエーハ相互接続が形成されている集積回路の態様の断面図を概略的に示す。 図10はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図11はブラインドウエーハ相互接続が形成されている集積回路の態様の断面図を概略的に示す。 図12はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図13はブラインドウエーハ相互接続が形成されている集積回路の態様の断面図を概略的に示す。 図14はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図15はブラインドウエーハ相互接続が形成されている集積回路の態様の断面図を概略的に示す。 図16はスルーウエーハ相互接続が形成されている集積回路の一つの態様の断面図を概略的に示す。 図17はスルーウエーハ相互接続が形成されている集積回路の別の態様の断面図を概略的に示す。 図18はスルーウエーハ相互接続が形成されている集積回路の別の態様の断面図を概略的に示す。 図19はスルーウエーハ相互接続が形成されている集積回路の別の態様の断面図を概略的に示す。 図20はスルーウエーハ相互接続が形成されている集積回路の別の態様の断面図を概略的に示す。

Claims (15)

  1. 中間の半導体デバイス構造の上にニッケルを選択的にめっきする方法であって、
    タングステンで裏打ちされた少なくとも一つのビアと、アルミニウム又は銅からなる少なくとも一つのボンドパッドと、を半導体基板上に有する中間の半導体デバイス構造を形成することと、
    前記少なくとも一つのビア内の前記タングステンを露出しながら、前記少なくとも一つのボンドパッドの露出面をニッケルめっきすることと、
    前記少なくとも一つのボンドパッドの前記露出面をニッケルめっきした後に、前記タングステンの露出面をニッケルめっきすることと、
    を含む方法。
  2. 中間の半導体デバイス構造の上にニッケルをめっきする方法であって、
    タングステンで裏打ちされた少なくとも一つのビアと、アルミニウム又は銅からなる少なくとも一つのボンドパッドと、を半導体基板上に有する中間の半導体デバイス構造を形成することと、
    前記タングステン及び前記少なくとも一つのボンドパッドの各々の露出面を同時にニッケルめっきすることと、
    を含む方法。
  3. タングステンで裏打ちされた少なくとも一つのビアと、アルミニウム又は銅からなる少なくとも一つのボンドパッドとを有する中間の半導体デバイス構造を形成することは、アルミニウムのボンドパッドを有する前記中間の半導体デバイス構造を形成することを含む、請求項1または2に記載の方法。
  4. タングステンで裏打ちされた少なくとも一つのビアと、アルミニウム又は銅からなる少なくとも一つのボンドパッドとを有する中間の半導体デバイス構造を形成することは、タングステンの層で裏打ちされた前記少なくとも一つのビアを有する前記中間の半導体デバイス構造を形成することを含む、請求項1または2に記載の方法。
  5. 前記タングステン及び前記少なくとも一つのボンドパッドの各々の露出面を同時にニッケルめっきすることは、アルミニウム、銅、またはタングステンに対して選択的なニッケルめっきの化学剤を選択することを含む、請求項2に記載の方法。
  6. 前記タングステン及び前記少なくとも一つのボンドパッドの各々の露出面を同時にニッケルめっきすることは、前記タングステン及び前記少なくとも一つのボンドパッドの各々の前記露出面をニッケルめっきに対して活性化することを含む、請求項2に記載の方法。
  7. 前記タングステン及び前記少なくとも一つのボンドパッドの各々の前記露出面をニッケルめっきに対して活性化することは、前記中間の半導体デバイス構造を亜鉛酸塩の溶液に晒し、それによって前記少なくとも一つのボンドパッドの前記露出面を活性化することを含む、請求項6に記載の方法。
  8. 前記中間の半導体デバイス構造を亜鉛酸塩の溶液に晒すことは、前記中間の半導体デバイス構造を酸化亜鉛と水酸化ナトリウムを含む水溶液に晒すことを含む、請求項7に記載の方法。
  9. 前記タングステン及び前記少なくとも一つのボンドパッドの各々の前記露出面をニッケルめっきに対して活性化することは、前記中間の半導体デバイス構造をパラジウムの溶液に晒し、それによって前記タングステンを活性化することを含む、請求項6に記載の方法。
  10. 前記中間の半導体デバイス構造をパラジウムの溶液に晒すことは、前記中間の半導体デバイス構造をパラジウム(II)イオンを含む水溶液に晒すことを含む、請求項9に記載の方法。
  11. 前記少なくとも一つのビア内の前記タングステンを露出しながら前記少なくとも一つのボンドパッドの露出面をニッケルめっきすることは、前記少なくとも一つのビア内の前記タングステンを露出しながら、前記少なくとも一つのボンドパッドの活性化された表面をニッケルめっきすることを含む、請求項1に記載の方法。
  12. 前記少なくとも一つのビア内の前記タングステンを露出しながら前記少なくとも一つのボンドパッドの露出面をニッケルめっきすることは、前記タングステンをめっきしないまま残しながら、前記少なくとも一つのボンドパッドの前記露出面をニッケルめっきすることを含む、請求項1に記載の方法。
  13. 前記少なくとも一つのボンドパッドの前記露出面をニッケルめっきした後に、前記タングステンの露出面をニッケルめっきすることは、活性化されたタングステンをニッケルめっきすることを含む、請求項1に記載の方法。
  14. 前記少なくとも一つのボンドパッドの前記露出面をニッケルめっきした後に、前記タングステンの露出面をニッケルめっきすることは、前記タングステンの前記露出面をニッケルで無電解めっきすることを含む、請求項1に記載の方法。
  15. 前記タングステン及び前記少なくとも一つのボンドパッドの各々の露出面を同時にニッケルめっきすることは、硫酸ニッケル、塩化ニッケル、硫酸ニッケル、臭化ニッケル、フロオロホウ酸ニッケル、スルホン酸ニッケル、スルファミン酸ニッケル、およびニッケルアルキルスルホネートからなる群から選択されるニッケル塩と、次亜リン酸ナトリウム、ジメチルアミンボラン、ホウ水素化ナトリウム、およびジメチルアミノベンズアルデヒドからなる群から選択される還元剤とを含む無電解ニッケルめっき溶液の中に前記中間の半導体デバイス構造を浸漬することを含む、請求項2に記載の方法。
JP2007530117A 2004-09-02 2005-08-25 アルミニウム、銅、およびタングステンの構造の選択的ニッケルめっき Active JP4893965B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/934,635 2004-09-02
US10/934,635 US7279407B2 (en) 2004-09-02 2004-09-02 Selective nickel plating of aluminum, copper, and tungsten structures
PCT/US2005/030228 WO2006028715A2 (en) 2004-09-02 2005-08-25 Selective nickel plating of aluminium, copper and tungsten structures

Publications (2)

Publication Number Publication Date
JP2008511992A JP2008511992A (ja) 2008-04-17
JP4893965B2 true JP4893965B2 (ja) 2012-03-07

Family

ID=35241013

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007530117A Active JP4893965B2 (ja) 2004-09-02 2005-08-25 アルミニウム、銅、およびタングステンの構造の選択的ニッケルめっき

Country Status (6)

Country Link
US (7) US7279407B2 (ja)
EP (1) EP1786951B1 (ja)
JP (1) JP4893965B2 (ja)
KR (1) KR100929060B1 (ja)
TW (1) TWI303674B (ja)
WO (1) WO2006028715A2 (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279407B2 (en) 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7713839B2 (en) * 2004-10-06 2010-05-11 Intel Corporation Diamond substrate formation for electronic assemblies
US9985207B2 (en) * 2004-12-06 2018-05-29 Flexenable Limited Electronic devices
US20070004639A1 (en) * 2005-07-01 2007-01-04 Bodybio, Inc. Methods and compositions for treating Parkinson's disease
US8308053B2 (en) 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7344959B1 (en) * 2006-07-25 2008-03-18 International Business Machines Corporation Metal filled through via structure for providing vertical wafer-to-wafer interconnection
US20080145506A1 (en) * 2006-12-18 2008-06-19 Bodybio, Inc. Food compositions and products containing balanced ratio of essential fatty acids
JP5135879B2 (ja) * 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8586465B2 (en) * 2007-06-07 2013-11-19 United Test And Assembly Center Ltd Through silicon via dies and packages
US8258624B2 (en) 2007-08-10 2012-09-04 Intel Mobile Communications GmbH Method for fabricating a semiconductor and semiconductor package
JP5265939B2 (ja) * 2008-02-08 2013-08-14 セイコーインスツル株式会社 半導体装置の製造方法
US7898063B2 (en) * 2008-02-16 2011-03-01 International Business Machines Corporation Through substrate annular via including plug filler
KR101052870B1 (ko) * 2008-04-21 2011-07-29 주식회사 하이닉스반도체 관통 전극, 이를 갖는 회로 기판, 이를 갖는 반도체 패키지및 반도체 패키지를 갖는 적층 반도체 패키지
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8263497B2 (en) * 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US8242384B2 (en) * 2009-09-30 2012-08-14 International Business Machines Corporation Through hole-vias in multi-layer printed circuit boards
US8492901B2 (en) * 2009-11-06 2013-07-23 International Business Machines Corporation Metal oxide semiconductor (MOS)-compatible high-aspect ratio through-wafer vias and low-stress configuration thereof
JP5583985B2 (ja) * 2010-02-19 2014-09-03 住友電気工業株式会社 金属積層構造体
US20120273261A1 (en) 2010-10-20 2012-11-01 Taiwan Green Point Enterprises Co., Ltd. Circuit substrate having a circuit pattern and method for making the same
JP5537197B2 (ja) * 2010-03-12 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8621749B2 (en) 2010-03-12 2014-01-07 Taiwan Green Point Enterprises Co., Ltd Non-deleterious technique for creating continuous conductive circuits
US8952919B2 (en) 2011-02-25 2015-02-10 Taiwan Green Point Enterprises Co., Ltd. Capacitive touch sensitive housing and method for making the same
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
KR101847628B1 (ko) * 2011-09-28 2018-05-25 삼성전자주식회사 금속함유 도전 라인을 포함하는 반도체 소자 및 그 제조 방법
US8803322B2 (en) * 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US8946663B2 (en) * 2012-05-15 2015-02-03 Spansion Llc Soft error resistant circuitry
US9922870B2 (en) * 2012-10-09 2018-03-20 Oce-Technologies B.V. Method for applying an image of an electrically conductive material onto a recording medium and device for ejecting droplets of an electrically conductive fluid
US9287228B2 (en) * 2014-06-26 2016-03-15 Lam Research Ag Method for etching semiconductor structures and etching composition for use in such a method
JP6406908B2 (ja) * 2014-07-18 2018-10-17 キヤノン株式会社 シリコン基板をエッチングするエッチング方法、及び前記エッチング方法を含む液体吐出ヘッドの製造方法
JP2017059636A (ja) * 2015-09-15 2017-03-23 三菱電機株式会社 半導体装置の製造方法
CN108470710B (zh) * 2017-02-23 2019-09-17 联华电子股份有限公司 一种形成半导体存储装置的方法
US11257745B2 (en) * 2017-09-29 2022-02-22 Intel Corporation Electroless metal-defined thin pad first level interconnects for lithographically defined vias
CN109755714B (zh) * 2017-11-06 2021-06-04 华润微电子(重庆)有限公司 一种集成波导结构及其制备方法
TWI670396B (zh) * 2018-04-11 2019-09-01 國立勤益科技大學 鋁合金之表面處理方法
KR20210000161A (ko) * 2019-06-24 2021-01-04 삼성전기주식회사 인쇄회로기판 및 그 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3726711A (en) * 1970-09-28 1973-04-10 Nordson Corp Method and apparatus for coating metal can bodies
US3726771A (en) 1970-11-23 1973-04-10 Stauffer Chemical Co Process for chemical nickel plating of aluminum and its alloys
US4017265A (en) * 1972-02-15 1977-04-12 Taylor David W Ferromagnetic memory layer, methods of making and adhering it to substrates, magnetic tapes, and other products
US4122215A (en) * 1976-12-27 1978-10-24 Bell Telephone Laboratories, Incorporated Electroless deposition of nickel on a masked aluminum surface
US4104111A (en) * 1977-08-03 1978-08-01 Mack Robert L Process for manufacturing printed circuit boards
US5169680A (en) 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
DE3827893A1 (de) 1988-08-17 1990-03-01 Hoechst Ceram Tec Ag Verfahren zur stromlosen abscheidung von nickel
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
CA2031549C (en) 1990-05-08 1998-04-28 Jon E. Bengston Electroless plating of nickel onto surfaces such as copper or fused tungsten
US6664115B2 (en) * 1992-10-23 2003-12-16 Symetrix Corporation Metal insulator structure with polarization-compatible buffer layer
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5814889A (en) 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US5682062A (en) 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5608264A (en) 1995-06-05 1997-03-04 Harris Corporation Surface mountable integrated circuit with conductive vias
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5795619A (en) 1995-12-13 1998-08-18 National Science Council Solder bump fabricated method incorporate with electroless deposit and dip solder
FI109216B (fi) 1996-03-06 2002-06-14 Metso Paper Inc Menetelmä ja sovitelma liikkuvan radan päällystämiseksi
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6300678B1 (en) * 1997-10-03 2001-10-09 Fujitsu Limited I/O pin having solder dam for connecting substrates
US6028011A (en) 1997-10-13 2000-02-22 Matsushita Electric Industrial Co., Ltd. Method of forming electric pad of semiconductor device and method of forming solder bump
US6051879A (en) 1997-12-16 2000-04-18 Micron Technology, Inc. Electrical interconnection for attachment to a substrate
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6369600B2 (en) * 1998-07-06 2002-04-09 Micron Technology, Inc. Test carrier for testing semiconductor components including interconnect with support members for preventing component flexure
US6083834A (en) * 1999-01-19 2000-07-04 Taiwan Semiconductor Manufacturing Company Zincate catalysis electroless metal deposition for via metal interconnection
US6342417B2 (en) * 1999-02-16 2002-01-29 Micron Technology, Inc. Methods of forming materials comprising tungsten and nitrogen
US6261367B1 (en) * 1999-05-10 2001-07-17 Nordson Corporation Method and apparatus for dispensing liquid material
US20020043466A1 (en) * 1999-07-09 2002-04-18 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6503343B1 (en) 2000-09-11 2003-01-07 Innovative Technology Licensing, Llc Controlled plating on reactive metals
US6673710B1 (en) 2000-10-13 2004-01-06 Bridge Semiconductor Corporation Method of connecting a conductive trace and an insulative base to a semiconductor chip
US6693358B2 (en) * 2000-10-23 2004-02-17 Matsushita Electric Industrial Co., Ltd. Semiconductor chip, wiring board and manufacturing process thereof as well as semiconductor device
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6458696B1 (en) * 2001-04-11 2002-10-01 Agere Systems Guardian Corp Plated through hole interconnections
JP4053257B2 (ja) * 2001-06-14 2008-02-27 新光電気工業株式会社 半導体装置の製造方法
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US20030038344A1 (en) 2001-08-24 2003-02-27 Mcnc Through-via vertical interconnects, through-via heat sinks and associated fabrication methods
US6586043B1 (en) 2002-01-09 2003-07-01 Micron Technology, Inc. Methods of electroless deposition of nickel, methods of forming under bump metallurgy, and constructions comprising solder bumps
US6622907B2 (en) * 2002-02-19 2003-09-23 International Business Machines Corporation Sacrificial seed layer process for forming C4 solder bumps
US6593224B1 (en) * 2002-03-05 2003-07-15 Bridge Semiconductor Corporation Method of manufacturing a multilayer interconnect substrate
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
JP2004031586A (ja) * 2002-06-25 2004-01-29 Sony Corp 半導体装置の製造方法
KR100458767B1 (ko) * 2002-07-04 2004-12-03 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US6593221B1 (en) 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
US20040149689A1 (en) * 2002-12-03 2004-08-05 Xiao-Shan Ning Method for producing metal/ceramic bonding substrate
US20040108136A1 (en) * 2002-12-04 2004-06-10 International Business Machines Corporation Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel
JP2004228392A (ja) * 2003-01-24 2004-08-12 Seiko Epson Corp 半導体装置の製造方法および半導体モジュールの製造方法
US6974776B2 (en) * 2003-07-01 2005-12-13 Freescale Semiconductor, Inc. Activation plate for electroless and immersion plating of integrated circuits
DE10337569B4 (de) * 2003-08-14 2008-12-11 Infineon Technologies Ag Integrierte Anschlussanordnung und Herstellungsverfahren
US7019402B2 (en) * 2003-10-17 2006-03-28 International Business Machines Corporation Silicon chip carrier with through-vias using laser assisted chemical vapor deposition of conductor
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US8084866B2 (en) * 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
JP3990347B2 (ja) * 2003-12-04 2007-10-10 ローム株式会社 半導体チップおよびその製造方法、ならびに半導体装置
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7230318B2 (en) * 2003-12-24 2007-06-12 Agency For Science, Technology And Research RF and MMIC stackable micro-modules
US7316063B2 (en) * 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7498647B2 (en) * 2004-06-10 2009-03-03 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US7232754B2 (en) * 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7279407B2 (en) 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
AU2012211045A1 (en) * 2011-01-28 2013-09-12 Commonwealth Scientific And Industrial Research Organisation Diagnostic and prognostic assay for a condition or event of the vascular system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6620731B1 (en) * 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides

Also Published As

Publication number Publication date
US20070004200A1 (en) 2007-01-04
EP1786951B1 (en) 2019-11-06
US10446440B2 (en) 2019-10-15
US9640433B2 (en) 2017-05-02
TW200613585A (en) 2006-05-01
US7279407B2 (en) 2007-10-09
US20170283954A1 (en) 2017-10-05
JP2008511992A (ja) 2008-04-17
US20090176362A1 (en) 2009-07-09
KR100929060B1 (ko) 2009-11-30
WO2006028715A2 (en) 2006-03-16
US7855454B2 (en) 2010-12-21
KR20070057232A (ko) 2007-06-04
US20060046088A1 (en) 2006-03-02
US8647982B2 (en) 2014-02-11
US10062608B2 (en) 2018-08-28
EP1786951A2 (en) 2007-05-23
TWI303674B (en) 2008-12-01
US20070132105A1 (en) 2007-06-14
WO2006028715A3 (en) 2007-09-07
US20180358263A1 (en) 2018-12-13
US20140154879A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
JP4893965B2 (ja) アルミニウム、銅、およびタングステンの構造の選択的ニッケルめっき
US6396148B1 (en) Electroless metal connection structures and methods
TWI299195B (en) Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US5169680A (en) Electroless deposition for IC fabrication
US7772115B2 (en) Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7294565B2 (en) Method of fabricating a wire bond pad with Ni/Au metallization
US7190052B2 (en) Semiconductor devices with oxide coatings selectively positioned over exposed features including semiconductor material
US20030216025A1 (en) Wafer level electroless copper metallization and bumping process, and plating solutions for semiconductor wafer and microchip
JP2007520051A (ja) 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム
KR19990036631A (ko) 전기도금된 제어된 붕괴 칩 접속부의 존재하에서 티탄-텅스텐을에칭시키는 개선된 방법
JP3137692B2 (ja) 半導体装置の製造方法
US7425278B2 (en) Process of etching a titanium/tungsten surface and etchant used therein
JP4055334B2 (ja) 半導体装置の製造方法
JP3274381B2 (ja) 半導体装置の突起電極形成方法
KR101303964B1 (ko) 무전해 도금 공정을 이용한 반도체 소자의 금속배선 형성방법
JP7313559B2 (ja) 半導体素子および半導体素子の製造方法
JP2004303915A (ja) 半導体装置の製造方法
WO2024144843A1 (en) Efficient autocatalytic metallization of polymeric surfaces
JPH05335315A (ja) 電極の製造方法
JPH0613375A (ja) 超大規模集積装置の相互接続レベルを形成する方法
JP2002076046A (ja) 半導体装置の製造方法
WO2002083980A1 (en) Electroless plating

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080710

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20080710

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080717

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110624

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111011

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111108

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111207

R150 Certificate of patent or registration of utility model

Ref document number: 4893965

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150106

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250