KR20070012573A - 처리가스도입기구 및 플라즈마 처리장치 - Google Patents

처리가스도입기구 및 플라즈마 처리장치 Download PDF

Info

Publication number
KR20070012573A
KR20070012573A KR1020077001009A KR20077001009A KR20070012573A KR 20070012573 A KR20070012573 A KR 20070012573A KR 1020077001009 A KR1020077001009 A KR 1020077001009A KR 20077001009 A KR20077001009 A KR 20077001009A KR 20070012573 A KR20070012573 A KR 20070012573A
Authority
KR
South Korea
Prior art keywords
plasma
gas
chamber
gas introduction
plasma processing
Prior art date
Application number
KR1020077001009A
Other languages
English (en)
Other versions
KR100739890B1 (ko
Inventor
다카유키 가마이시
아키노리 시마무라
마사토 모리시마
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070012573A publication Critical patent/KR20070012573A/ko
Application granted granted Critical
Publication of KR100739890B1 publication Critical patent/KR100739890B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 발생부와 피처리기판을 내부에 수용하는 챔버를 갖는 플라즈마처리장치의 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 처리가스를 도입하는 처리가스도입기구에 있어서, 상기 플라즈마발생부를 지지함과 동시에 상기 챔버에 놓이고, 처리가스를 상기 처리공간에 도입하는 가스도입로가 형성되고, 그 중앙에 상기 처리공간의 일부를 이루는 구멍부를 갖는 가스도입베이스와, 상기 가스도입베이스의 상기 구멍부에 분리하여 가능하게 장착되고, 상기 가스도입로로부터 상기 처리공간에 연통하여 상기 처리가스를 상기 처리공간에 토출하는 복수의 가스토출구멍을 갖는 대략 링형상을 이루는 가스도입플레이트를 갖는 처리가스도입기구가 제공된다.

Description

처리가스도입기구 및 플라즈마 처리장치{PROCESS GAS INTRODUCING MECHANISM AND PLASMA PROCESSING DEVICE}
도 1은, 종래의 플라즈마 처리장치의 개략의 일부를 확대한 도,
도 2는, 본 발명의 제1실시형태에 관한 플라즈마 처리장치의 개략을 나타내는 단면도,
도 3은, 본 발명의 제1실시형태에 관한 플라즈마 처리장치의 가스도입기구부분을 확대하여 나타낸 단면도.
도 4a는, 가스도입기구를 구성하는 가스도입베이스를 나타내는 사시도,
도 4b는, 그 가스도입베이스를 나타내는 단면도,
도 5a는, 가스도입기구를 구성하는 가스도입플레이트를 나타내는 사시도,
도 5b는, 그 가스도입플레이트를 나타내는 단면도,
도 6은, 가스도입기구의 일부를 확대하여 나타내는 단면도,
도 7은, 가스도입기구의 변형예를 나타내는 단면도,
도 8은, 본 발명의 제1실시형태에 관한 플라즈마 처리장치의 외관을 나타내는 사시도,
도 9는, 본 발명의 제2실시형태에 관한 플라즈마 처리장치를 나타낸 단면도,
도 10a는, 종래의 플라즈마 처리장치의 Ar 플라즈마의 Ar+의 밀도분포의 시뮬레이션결과를 도시한 도면,
도 10b는, 본 발명의 제2실시형태에 관한 플라즈마 처리장치에 있어서 플라즈마중의 Ar+의 밀도분포의 시뮬레이션결과를 도시한 도면,
도 11은, 본 발명의 제2실시형태에 관한 플라즈마 처리장치의 벨자의 형상의 효과의 일례를 나타내는 그래프.
도 12는, 본 발명의 제2실시형태에 관한 플라즈마 처리장치의 변형예를 나타내는 단면도,
도 13은, 본 발명의 제3실시형태에 관한 플라즈마 처리장치에 있어서 반도체웨이퍼탑재구조를 나타내는 개략단면도,
도 14는, 도 13의 반도체웨이퍼탑재구조를 확대하여 나타내는 단면도,
도 15는, 도 13의 반도체웨이퍼탑재구조를 나타내는 평면도,
도 16은, 본 발명의 제3실시형태에 관한 반도체웨이퍼탑재부분의 단차와 에칭결과의 편차와의 관계를 나타내는 그래프이다.
본 발명은, 기판처리에 이용하는 처리가스를 도입하는 처리가스도입기구 및, 처리가스를 도입하여 기판의 플라즈마 처리를 행하는 플라즈마 처리장치에 관한 것이다.
반도체제조공정에 있어서는, 예컨대, 피처리체인 실리콘웨이퍼에 형성된 콘택트홀의 바닥부에 Ti를 성막하고, Ti와 기판의 Si와의 상호확산에 의해 TiSi를 형성하고, 그 위에 TiN 등의 배리어층을 형성하고, 또한 그 위에 Al층, W층, Cu층 등을 형성하여 홀의 매립과 배선의 형성이 행하여진다. 종래부터, 이러한 일련의 공정을 실시하기 위해서 클러스터툴형과 같은 복수의 챔버를 갖는 메탈성막시스템이 이용되고 있다. 이러한 메탈성막시스템에서는, 양호한 콘택트를 얻기 위해서 성막처리에 앞서, 실리콘웨이퍼상에 형성된 자연산화막이나 에칭 데미지층 등을 제거하는 처리가 실시된다. 이러한 자연산화막을 제거하는 장치로는, 수소가스와 아르곤가스을 이용하여 유도결합 플라즈마를 형성하는 것이 알려져 있다 (일본특허공개 평성 제4-336426호 공보).
또한, 유도결합플라즈마를 형성하여 처리하는 장치로는, 피처리체인 반도체웨이퍼를 배치한 챔버의 상부에 유전체로 이루어지는 벨자를 마련하고, 그 외주부에, RF 전원에 접속된 코일 인덕터를 두루 감아 유도결합플라즈마를 발생시키는 구성이 알려져 있다 (일본국 특허공개 평성 제10-258227호공보, 일본국 특허공개 평성 제10-116826호공보, 일본국 특허공개 평성 제11-67746호공보, 2002-237486호공보).
이러한 종류의 유도결합플라즈마 처리장치로는, 도 1에 그 일부를 도시하는 바와 같이, 벨자(401), 코일(403), 도시하지 않은 RF 전원 등을 포함하는 플라즈마발생부(400)와, 피처리체가 수용되는 챔버(201)를, 처리가스를 도입하기 위한 가스도입링(408)을 거쳐서 나사로 고정시킨 것이 있다.
구체적으로, 벨자(401)는, 나사부품(410)을 이용하여 벨자눌러(409)로 가스도입링(408)에 고정된다. 이 때, 벨자눌러(409) 및 가스도입링(408)과 벨자(401)의 사이에는, 예컨대 PTFE(폴리테트라플루오로에틸렌) 등의 수지로 이루어지는 환상의 완충재(409a)가 삽입되어, 벨자(401)를 보호하고 있다.
벨자(401)를 유지한 가스도입링(408)은, 리드베이스(407)에 의해서 유지되고, 해당 리드베이스(407)가 챔버(201)에 탑재되는 구조로 되어 있다.
벨자(401)와 가스도입링(408)과의 사이, 및 리드베이스(407)와 챔버(201)와의 사이에는 예컨대 O링 등의 씨일재(413) 및 (414)가 삽입되어 기밀성이 유지되고 있다.
예컨대 Ar 가스나 H2가스 등의 처리가스는, 가스도입홈(408b)으로부터, 해당 가스도입홈(408b)에 연통한 가스구멍(408a)으로부터 처리공간(402)에 도입되는 구조로 되어 있다. 이렇게 하여 도입된 처리가스를 플라즈마여기하여, 피처리기판인 반도체웨이퍼의 플라즈마처리를 행한다.
이 경우, 플라즈마처리에 의해서, 예컨대 스퍼터에칭에 의해 비산한 물질이 가스도입링(408)이나 리드베이스(407)의 측면에 부착하여 퇴적물로 된다. 이 퇴적물이 두꺼워지면, 퇴적한 장소로부터 박리하여 이물질이 되어, 장치의 가동율이 저 하하고, 반도체장치의 양품률의 저하 등의 문제가 발생한다.
그 때문에, 처리공간(402) 내에서, 상기 가스도입링(408) 및 리드베이스(407)를 피복하도록 커버쉴드(411)를, 나사(412)에 의해서 부착한 구조로 하고 있다. 이 커버쉴드(411) 상에 에칭에 의해 비산한 물질이 부착한 경우, 나사(412)의 탈착에 의해서 해당 커버쉴드(411)를 교환하여, 퇴적물의 축적에 의한 이물질의 발생을 방지하고 있다.
또한, 가스구멍(408a)으로부터 도입되는 처리가스의 확산을 막는 일이 없도록, 커버쉴드(411)에는 가스구멍(408a)의 직경보다 큰 구멍부(411a)가 마련되어 있다. 이 때문에, 가스도입링(408)의 가스구멍(408a)의 주위에 퇴적물이 부착해 버린다. 따라서, 메인테넌스시에는, 커버쉴드(411)와 함께 가스도입링(408)도 교환할 필요가 있다.
그러나, 커버쉴드(411)를 교환하는 때에는, 벨자(401), 가스도입링(408) 및 리드베이스(407)를 분리하는 필요가 있어, 메인테넌스에 시간을 요하는 문제가 있다. 또한, 가스도입링(408)은 가스도입홈(408b)가 형성되어 있는 등 구조가 복잡하고, 교환하는 부품의 가격이 고가로 되어 버려, 장치의 러닝코스트가 상승하고 반도체장치의 생산성저하의 요인으로 된다.
한편, 이러한 종류의 유도결합형 플라즈마처리장치에서는, 플라즈마처리에 부여하는 처리공간의 형상이 상세히 검토되어 있지 않고, 반드시 플라즈마처리의 균일성이 충분한 것은 아니다라는 문제가 있다.
또한, 플라즈마가 형성되는 용기내에서 웨이퍼를 탑재하는 서셉터의 구조로 는, 웨이퍼의 유지 에어리아를 소정의 깊이의 오목형상으로 깎아 넣어 웨이퍼의 위치결정을 할 수 있도록 한 것이 알려져 있다 (일본 특허공개2002-151412호 공보).
그러나, 이러한 서셉터의 구조를 채용한 경우에도, 플라즈마처리의 균일성이 충분하지 않다고 하는 문제가 생긴다.
본 발명의 목적은, 메이테넌스시 교환부품의 코스트를 삭감하여, 러닝코스트를 낮게 할 수 있는 처리가스도입기구 및 플라즈마처리장치를 제공하는 것에 있다.
본 발명의 다른 목적은, 메이테넌스가 용이하여, 메이테넌스시간을 단축하는 것이 가능한 플라즈마처리장치를 제공하는 것에 있다.
본 발명의 또한 다른 목적은, 유도결합플라즈마를 이용하는 플라즈마처리에 있어서, 피처리체의 면내균일성을 향상시킬 수 있는 플라즈마처리장치를 제공하는 것에 있다.
본 발명의 별도의 목적은, 설계나 제작코스트의 상승이나 장치구성의 범용성을 손상하는 일없이, 피처리체의 면내균일성을 향상시킬 수 있는 플라즈마처리장치를 제공하는 것에 있다.
본 발명의 제 1 관점에 의하면, 플라즈마발생부와 피처리기판을 내부에 수용하는 챔버를 갖는 플라즈마처리장치의 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 처리가스를 도입하는 처리가스도입기구에 있어서, 상기 플라즈마발생부를 지지함과 동시에 상기 챔버에 놓이고, 처리가스를 상기 처리공간에 도입하는 가스도입로가 형성되고, 그 중앙에 상기 처리공간의 일부를 이루는 구멍부를 갖는 가스도입베이스와, 상기 가스도입베이스의 상기 구멍부에 분리하여 가능하게 장착되고, 상기 가스도입로로부터 상기 처리공간에 연통하여 상기 처리가스를 상기 처리공간에 토출하는 복수의 가스토출구멍을 갖는 대략 링형상을 이루는 가스도입플레이트를 갖는 처리가스도입기구가 제공된다.
본 발명의 제 2 관점에 의하면, 플라즈마를 발생시키는 플라즈마발생부와, 피처리기판을 내부에 수용하는 챔버와, 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 플라즈마형성용의 처리가스를 도입하는 처리가스도입기구를 구비하고, 상기 처리가스도입기구는, 상기 플라즈마발생부를 지지함과 동시에 상기 챔버에 놓이고, 처리가스를 상기 처리공간에 도입하는 가스도입로가 형성되고, 그 중앙에 상기 처리공간의 일부를 이루는 구멍부를 갖는 가스도입베이스와, 상기 가스도입베이스의 상기 구멍부에 분리가능하게 장착되고, 상기 가스도입로로부터 상기 처리공간에 연통하여 상기 처리가스를 상기 처리공간에 토출하는 복수의 가스토출구멍을 갖는 대략 링형상을 이루는 가스도입플레이트를 갖는 플라즈마처리장치가 제공된다.
본 발명의 제 3 관점에 의하면, 플라즈마를 발생하는 플라즈마발생부와, 피처리기판을 내부에 수용하는 챔버와, 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부를 지지함과 동시에 상기 챔버상에 놓이고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 플라즈마형성용의 처리가스를 도입하는 처리가스도입기구와, 상기 처리가스도입기구 및 상기 플라즈마발생부를 상기 챔버에 대하여 탈찰하는 탈착기구를 구비하는 플라즈마처리장치가 제공된다.
상기 본 발명의 제 1 및 제 2 관점에 의하면, 가스도입베이스를, 플라즈마발생부를 지지함과 동시에 상기 챔버에 놓이고, 처리가스를 상기 처리공간에 도입하는 가스도입로가 형성되고, 그 중앙에 상기 처리공간의 일부를 이루는 구멍부를 갖는 구조로 하고, 이 가스도입베이스의 상기 구멍부에, 상기 가스도입로로부터 상기 처리공간에 연통하여 상기 처리가스를 상기 처리공간에 토출하는 복수의 가스토출구멍을 갖는 대략 링형상을 이루는 가스도입플레이트를 분리가능하게 장착했기 때문에, 처리가스도입기구의 구조가 단순화되고, 또한 소모부품의 교환이 용이해진다. 그 때문에, 메인테넌스시간이 단축되어, 플라즈마처리장치의 가동율이 올라가 생산성이 개선된다. 또한, 상기 처리가스도입기구의 구조를 단순화했기 때문에, 해당 처리가스도입구조의 제조코스트를 낮게 억제하는 것이 가능해져, 플라즈마처리장치의 제조코스트를 낮게 억제하는 것이 가능해진다.
상기 본 발명의 제 3 관점에 의하면, 처리가스도입기구 및 플라즈마발생부를 상기 챔버에 대하여 탈착하는 탈착기구를 마련했기 때문에, 메인테넌스가 용이하고, 메인테넌스시간을 단축하는 것이 가능해진다.
본 발명의 제 4 관점에 의하면, 피처리기판에 대하여 플라즈마처리를 하는 플라즈마처리장치에 있어서, 피처리기판을 수용하는 챔버와, 상기 챔버의 상방에 챔버와 연통하도록 마련된 유전체로 이루어지는 벨자 및 상기 벨자의 외측의 주위에 코일형상으로 권회되어 상기 벨자내에 유도전계를 형성하는 안테나를 갖고, 상기 벨자의 내측으로 플라즈마를 발생시키는 플라즈마발생부와, 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 플라즈마형성용의 처리가스를 도입하는 처리가스도입기구와, 상기 챔버내에 마련된 피처리기판이 탑재되는 탑재대를 구비하고, 상기 벨자의 내경 D와, 상기 벨자의 중앙부의 내법높이 H와의 비 D/H로 표시되는 편평율 K이 1.60∼9.25인 플라즈마처리장치가 제공된다.
본 발명의 제 5 관점에 의하면, 피처리기판에 대하여 플라즈마처리를 행하는 플라즈마처리장치에 있어서, 피처리기판을 수용하는 챔버와, 상기 챔버의 상방에 챔버와 연통하도록 마련된 유전체로 이루어지는 벨자 및 상기 벨자의 외측의 주위에 코일형상으로 권회되어 상기 벨자내에 유도전계를 형성하는 안테나를 갖고, 상기 벨자의 내측으로 플라즈마를 발생시키는 플라즈마발생부와, 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 플라즈마형성용의 처리가스를 도입하는 처리가스도입기구와, 상기 챔버내에 마련된 피처리기판이 탑재되는 탑재대를 구비하고, 상기 벨자의 내경 D와, 상기 벨자의 중앙부의 천장부분으로부터 상기 탑재대까지의 거리 H1와의 비 D/H1로 표시되는 편평율 K1이, 0.90∼3.85인 플라즈마처리장치가 제공된다.
상기 본 발명의 제 4 및 제 5 관점은, 상술한 바와 같이 유도결합플라즈마를 이용하는 처리장치에서는, 벨자의 높이가, 피처리기판에 대한 플라즈마분포밀도의 분산에 크게 영향을 미치고, 특히, 대구경의 실리콘웨이퍼에 대한 상술한 바와 같은 플라즈마처리에 있어서의 면내균일성을 향상시키기 위해서는, 벨자의 높이의 최적화가 효과적이라고 하는 본 발명자들이 찾아낸 지견에 근거하고 있다.
상기 본 발명의 제 4 관점에 의하면, 그 내부에 플라즈마가 형성되는 벨자의 편평율 K를 1.60∼9.25로 큰 값으로 하기 때문에, 탑재대상에 위치하는 피처리기판의 상방의 벨자내에 형성되는 플라즈마가 피처리기판의 처리면을 따라 넓어져, 플라즈마의 밀도분포가 상기 처리면을 따라 균일화한다. 이 때문에, 플라즈마처리에 있어서의 피처리기판의 면내균일성이 향상한다.
상기 본 발명의 제 5 관점에 의하면, 탑재대로부터 벨자의 천장까지의 높이를 가미한 벨자의 편평율 K1을 0.90∼3.85로 큰 값으로 하기 때문에, 탑재대상에 위치하는 피처리기판의 상방의 벨자내에 형성되는 플라즈마가, 피 처리기판의 처리면을 따라 넓어져, 플라즈마의 밀도분포가 상기 처리면을 따라 균일화한다. 이 때문에, 플라즈마처리에 있어서의 피처리기판의 면내균일성이 향상한다.
또한, 상기 제 4 및 제 5 관점에서는, 벨자를 편평하게 할 뿐, 그 이외의 챔버부분은 기존의 구성을 그대로 이용하는 수 있어, 챔버부분의 설계변경 등에 기인하는 코스트상승이나 챔버부분의 외부접속구조의 변경 등에 의한 범용성의 저하를 초래하는 일없이, 플라즈마처리에 있어서의 피처리기판의 면내균일성을 향상시킬 수 있다.
본 발명의 제6의 관점에 의하면, 피처리기판에 대하여 플라즈마처리를 행하는 플라즈마처리장치에 있어서, 피처리기판을 수용하는 챔버와, 상기 챔버의 상방에 챔버와 연통하도록 마련된 유전체로 이루어지는 벨자 및 상기 벨자의 외측의 주위에 코일형상으로 권회되어 상기 벨자내에 유도전계를 형성하는 안테나를 갖고, 상기 벨자의 내측으로 플라즈마를 발생시키는 플라즈마발생부와, 상기 플라즈마발생부와 상기 챔버와의 사이에 마련되어, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 플라즈마형성용의 처리가스를 도입하는 처리가스도입기구와, 상기 챔버내에 마련된 피처리기판이 지지되는 탑재대와, 유전체로 이루어져 상기 탑재대를 피복함과 동시에 상기 피처리기판이 탑재되는 마스크를 구비하고, 상기 마스크는, 상기 피처리기판이 탑재되는 제1영역과, 상기 제1영역의 주위의 제2영역이 동일한 높이로 구성되어 있는 플라즈마처리장치가 제공된다.
상기 본 발명의 제6의 관점은, 종래의 서셉터의, 웨이퍼의 유지 에어리아를 오목형으로 새겨 넣은 형상에서는, 오목형의 외주부의 임피던스가 중앙부보다도 높아져서, 플라즈마형성의 바이어스 등에 영향을 미쳐서 플라즈마처리의 면내균일성이 저하한다고 하는 문제를 해결하기 위한 것이며, 피 처리기판이 탑재되는 탑재대의 마스크에 있어서, 피처리기판이 탑재되는 제1영역과, 그 주변부의 제2영역의 높이를 동일하게 하여 평탄한 구성으로 하기 때문에, 플라즈마형성시의 임피던스가, 제1 및 제2영역에서 균일화하고, 피처리기판의 주변부와 중앙부와로 플라즈마의 분포밀도가 균일화하여, 플라즈마처리에 있어서의 피처리기판의 면내균일성을 향상시킬 수 있다.
이하, 첨부도면을 참조하여 본 발명의 실시형태에 대하여 설명한다.
<제 1 실시형태>
도 2는, 본 발명의 제1실시형태에 관한 플라즈마처리장치의 구성의 개략도이다. 플라즈마처리장치(100)는 피처리기판을 플라즈마처리하는 장치이며, 예컨대 피처리기판상에 형성되는 금속막상이나 실리콘상에 형성되는 자연산화막 등의 산화막을 포함하는 불순물층을 플라즈마에칭하여 제거하는 공정에 이용된다.
플라즈마처리장치(100)는, 피처리기판인 반도체웨이퍼를 수용하는 챔버(10)와, 챔버(10)내에서 반도체웨이퍼를 유지하는 웨이퍼유지부(20)와, 챔버(10)를 피복하다도록 설치되고, 웨이퍼에 플라즈마처리를 실시하는 처리공간 S 내에 플라즈마를 발생하는 플라즈마발생부(40)와, 플라즈마를 발생하기 위한 가스를 상기 처리공간 S에 도입하기 위한 가스도입기구(50)와, 가스도입기구(50)에 플라즈마를 생성하기 위한 가스를 공급하는 가스공급기구(60)를 갖고 있다. 또한, 도 2에는 도시되어 있지 않지만, 가스도입기구(50) 및 플라즈마발생부(40)를 탈착하는 후술하는 탈착기구를 갖고 있다.
챔버(10)는 알루미늄 또는 알루미늄합금 등의 금속재료로 이루어지고, 원통형을 이루는 본체(11)와, 본체(11)의 하방에 마련된 본체(11)보다도 작은 직경의 원통형을 이루는 배기실(12)을 갖고 있다. 배기실(12)은, 본체(11)내를 균일하게 배기하기 위해서 설치되어 있다.
챔버(10)의 상방에는, 챔버(10)와 연속하도록, 플라즈마발생부(40)의 구성요소인 벨자(41)가 설치되어 있다. 벨자(41)는 유전체로 이루어져 상부가 폐색된 원통형, 예컨대 돔형을 이루고 있다. 그리고, 챔버(10) 및 벨자(41)에 의해 처리용기가 구성되고, 그 안이 상기 처리공간 S로 되어 있다.
웨이퍼유지부(20)는, 피처리체인 반도체웨이퍼 W를 수평으로 지지하기 위한 유전성재료로 이루어지는 서셉터(탑재대)(21)를 갖고, 이 서셉터(21)가 원통형의 유전성재료로 이루어지는 지지부재(22)에 지지된 상태로 배치되어 있다. 또, 서셉터(21)의 상면에 웨이퍼 W와 대략 같은 형상의 오목부를 형성하고, 이 오목부에 웨이퍼 W가 떨어져 들어가도록 해도 좋고, 서셉터(21)상면에 정전흡착기구를 마련하여 정전흡착하도록 해도 좋다. 서셉터(21)를 구성하는 유전성재료로는, 세라믹재료, 예컨대 A1N, A12O3를 들 수 있고, 그 중에서도 열전도성이 높은 AlN이 바람직하다.
서셉터(21)의 외주에는, 서셉터(21)에 탑재된 웨이퍼 W의 에지를 피복하도록 쉐도우링(23)이 승강가능하게 설치되어 있다. 쉐도우링(23)은, 플라즈마를 포커스하여, 균일한 플라즈마를 형성하는 데 도움이 된다. 또한, 서셉터(21)를 플라즈마로부터 보호하는 역할도 갖는다.
서셉터(21)내의 상부에는 Mo, W 등의 금속으로 이루어지는 메쉬 형상으로 형성된 전극(24)이 수평면형상으로 매설되어 있고, 이 전극(24)에는 정합기(26)를 거쳐서 웨이퍼에 고주파바이어스를 걸어 이온을 인입하기 위한 고주파전원(25)이 접 속되어 있다.
또한, 서셉터(21)내에는, 전극(24)의 하방위치에 히터(28)가 매설되어 있고, 히터전원(29)으로부터 히터(28)에 급전하는 것에 의해, 웨이퍼 W를 소정의 온도로 가열가능하게 구성되어 있다. 또, 전극(24) 및 히터(28)에의 급전선은 지지부재(22)의 내부에 삽통되어 있다.
서셉터(21)에는, 웨이퍼 W를 지지하여 승강시키기 위한 3개(2개만 도시)의 웨이퍼승강핀(31)이 삽통되어 있고, 서셉터(21)의 상면에 대하여 돌출 및 함몰가능하게 설치되어 있다. 이들 웨이퍼승강핀(31)은 지지판(32)에 고정되어 있고, 에어 실린더 등의 승강기구(33)에 의해 지지판(32)을 거쳐서 승강된다.
챔버(10)의 본체(11)의 내부에는, 그 내벽을 따라 본체(11)의 내벽에 플라즈마에칭에 의해 생성된 부생성물 등이 부착하는 것을 방지하기 위한 대략 원통형을 이루는 챔버쉴드(34)가 탈착자유롭게 설치되어 있다. 이 챔버쉴드(34)는, Ti 재(Ti 또는 Ti 합금)에 의해 구성되어 있다. 쉴드재로서 A1재를 이용하여도 좋지만, A1재에서는 처리중에 파티클의 발생이 있기 때문에, 부착물과의 밀착성이 높고 파티클의 발생을 대폭 감소할 수 있는 Ti재를 이용하는 것이 바람직하다. 또한, A1재의 쉴드본체에 Ti를 코팅하여 이용해도 좋다. 또한, 챔버쉴드(34)의 표면은, 부착물과의 밀착성을 향상시키기 위해서, 블라스트처리 등으로 미소한 요철형상으로 해도 좋다. 이 챔버쉴드(34)는 챔버(10)의 본체(11)의 바닥벽에 몇군데(도에서는 2군데) 볼트(35)에 의해 고정되어 있고, 볼트(35)를 빼는 것에 의해, 챔버(10)의 본체(11)로부터 분리할 수 있어, 챔버(10)내의 메인테넌스를 용이하게 행하는 것이 가능하 다.
챔버(10)의 측벽은 개구(36)를 갖고 있고, 이 개구(36)는 게이트밸브(37)에 의해 개폐되도록 되어 있다. 이 게이트밸브(37)를 개로 한 상태에서 반도체웨이퍼 W가 인접하는 로드록실(도시하지 않음)과 챔버(10)내와의 사이에서 반송되도록 되어 있다.
챔버(10)의 배기실(12)은, 본체(11)의 바닥벽의 중앙부에 형성된 원형의 구멍을 피복하도록 하방을 향해서 돌출하여 마련되어 있다. 배기실(12)의 측면에는 배기관(38)이 접속되어 있고, 이 배기관(38)에는 배기장치(39)가 접속되어 있다. 그리고 이 배기장치(39)를 작동시킴으로써 챔버(10) 및 벨자(41)내를 소정의 진공도까지 균일하게 감압하는 것이 가능하게 되어 있다.
상기 플라즈마발생부(40)는, 상술한 벨자(41)와, 벨자(41)의 외측에 권회된 안테나부재로서의 코일(43)과, 코일(43)에 고주파전력을 공급하는 고주파전원(44)과, 벨자(41) 및 코일(43)을 덮고, 플라즈마의 자외선 및 전자파를 쉴드하는 차폐용기(46)를 갖고 있다.
벨자(41)는, 예컨대 석영이나 AlN 등의 세라믹재료와 같은 유전체재료로 형성되어 있고, 원통형의 측벽부(41a)와, 그 위의 돔형상의 천벽부(41b)를 갖고 있다. 코일(43)은, 이 벨자(41)의 원통을 형성하는 측벽부(41a)의 외측에 대략 수평방향으로 코일과 코일과의 사이가 5∼10 mm 피치, 바람직하게는 8 mm 피치로, 소정의 권회수로 권회되어 있고, 코일(43)은, 예컨대 불소수지 등의 절연재로 써포트되어 고정된다. 도시의 예에서는 코일(43)의 권회수는 7권이다.
상기 고주파전원(44)은, 정합기(45)를 거쳐서 코일(43)에 접속되어 있다.
고주파전원(44)은 예컨대 300 kHz∼60 MHz 주파수의 고주파전력을 발생한다. 바람직하게는 450 kHz∼13.56 MHz 이다. 고주파전원(44)으로부터 코일(43)에 고주파전력을 공급함으로써, 유전체재료로 이루어지는 벨자(41)의 측벽부(41a)를 거쳐서 벨자(41)의 내측의 처리공간 S에 유도전자계가 형성되도록 되어 있다.
가스도입기구(50)는, 챔버(10)와 벨자(41)의 사이에 마련되고 있고, 벨자(41)를 지지함과 동시에 챔버(10)에 실린 가스도입베이스(48)와, 이 가스도입베이스(48)의 내측에 장착된 가스도입플레이트(49)와, 가스도입베이스(48)에 벨자(41)를 고정하기 위한 벨자눌러(47)를 갖고 있다. 그리고, 가스공급기구(60)로부터의 처리가스가, 후술하는 가스도입베이스(48) 내에 형성된 가스도입로(48e) 및 가스도입플레이트(49)에 형성된 가스토출구멍(49a)을 거쳐서 처리공간 S에 토출되도록 되어 있다.
가스공급기구(60)는, Ar 가스공급원(61), H2가스공급원(62)을 갖고 있고, 이들 가스공급원에는, 각각 가스라인(63),(64)이 접속되어 있고, 이들 가스라인(63),(64)은 가스라인(65)에 접속되어 있다. 그리고, 이들 가스는 이 가스라인(65)을 거쳐서 가스도입기구(50)로 유도된다. 가스라인(63),(64)에는, 매스플로우컨트롤러(66) 및 그 전후의 개폐밸브(67)가 설치된다.
이렇게 하여 가스공급기구(60)의 가스라인(65)을 거쳐서 가스도입기구(50)에 공급된, 처리가스인 Ar가스, H2가스는, 가스도입기구(50)의 가스도입로(48e) 및 가 스도입플레이트(49)에 형성된 가스토출구멍(49a)을 거쳐서 처리공간 S에 토출되고, 상술한 바와 같이 하여 처리공간 S에 형성된 유도전자계에 의해 플라즈마화되고, 유도결합플라즈마가 형성된다.
다음에, 가스도입기구(50)의 구조에 대하여 상세히 설명한다.
도 3에 확대하여 도시하는 바와 같이, 가스도입베이스(48)에는, 챔버(10)의 본체(11)의 벽부에 형성된 가스도입로(1lb)에 접속되는 제 1가스유로(48a)가 형성되고, 이 제 1가스유로(48a)는, 가스도입베이스(48) 내에 대략 환상 또는 반원상으로 형성된 제 2가스유로(48b)에 접속되어 있다. 또한, 제 2가스유로(48b)로부터는 내측을 향해서 등간격으로 또는 대각적으로 복수의 제 3가스유로(48c)가 형성되어 있다. 한편, 가스도입베이스(48)와 가스도입플레이트(49)의 사이에는, 가스가 균일하고 확산가능하게 대략 환상의 제 4 가스유로(48d)가 형성되어 있고, 이 제 4 가스유로(48d)에 상기 제 3가스유로(48c)가 접속되어 있다. 그리고, 이들 제1∼제 4 가스유로(48a, 48b, 48c, 48d)가 연통하여 가스도입로(48e)를 구성하고 있다.
가스라인(65)으로부터 도입된 처리가스는, 가스도입로(1lb)을 거쳐서, 가스도입베이스(48)에 형성된 제 1가스유로(48a)로부터, 대략 환상 또는 반원상으로 형성된 제 2가스유로(48b)내를 균일하게 확산한다. 그리고, 처리가스는, 해당 제 2가스유로(48b)에 연통하여 처리공간 S의 방향으로 향하는 복수의 제 3가스유로(48c)를 거쳐서, 대략 환상의 제 4 가스유로(48d)에 이른다.
한편, 상술한 바와 같이, 가스도입플레이트(49)에는, 제 4 가스유로(48d)와 처리공간 S가 연통한 가스토출구멍(49a)이 등간격으로 복수형성되어 있고, 처리가 스는 제 4 가스유로(48d)로부터 가스토출구멍(49a)을 거쳐서, 처리공간 S에 토출된다. 또한, 가스도입로(1lb)와, 제 1가스유로(48a)의 접속부분의 주위에는, 씨일링(52)이 설치되어, 처리가스를 공급하는 경로의 기밀성을 유지하고 있다.
또한, 가스도입베이스(48)는, 상술한 바와 같이 벨자(41)를 유지하여 챔버(10)의 본체(11)에 탑재되는 구조로 되어 있다. 그 때, 가스도입베이스(48)와 벨자(41)의 사이, 및 가스도입베이스(48)와 챔버(10)의 본체(11)와의 사이에는, 각각 예컨대 O 링 등의 씨일재(53) 및 (54)가 개재되어 있어, 처리공간 S의 기밀성이 유지된다.
벨자(41)는 가스도입베이스(48)에 유지되고, 그 단부를 벨자눌러(47)에 의해서 고정되어 있다. 또한 벨자눌러(47)는 나사(55)에 의해서 가스도입베이스(48)에 체결되어 있다. 벨자눌러(47) 및 가스도입베이스(48)와 벨자(41)와의 사이에는, PTFE 등으로 이루어지는 완충재(47a)가 끼워져 장착되어 있다. 이것은, 예컨대 석영이나 A12O3, AlN 등의 유전재료로 이루어지는 벨자(41)가, 예컨대 A1등의 금속재료 등으로 이루어지는 벨자눌러(47)나 가스도입베이스(48)에 충돌하여 파손하는 것을 막기 위함이다. 또한, 가스도입베이스(48)와 가스도입플레이트(49)는 나사(56)에 의해서 체결되어 있다.
다음에, 상기한 처리가스도입기구(50)를 구성하는 가스도입베이스(48) 및 가스도입플레이트(49)를 보다 상세히 설명한다.
도 4a, 4b는, 가스도입베이스(48)를 나타낸 것이고, 도 4a는 그 사시도이며, 도 4b는, 도 4a 에서의 A-A 단면도이다. 가스도입베이스(48)는, 예컨대 A1 등의 금속재료로 이루어지고, 도 4a에 도시하는 바와 같이 그 중앙에 대략 원형상의 구멍(48f)이 형성된 구조로 되어 있고, 플라즈마처리장치(100)에 장착했을 때에, 구멍(48f)이 처리공간 S의 일부를 형성한다. 가스도입베이스(48)에는, 도 4b의 단면에 도시하는 바와 같이, 상술한 제1∼제 3가스유로(48a, 48b, 48c)가 형성되어 있고, 제 3가스유로(48c)는, 공간(48d')에 연통하고 있다. 가스도입베이스(48)의 내주면은 단차부가 형성되어 있고, 이 단차부에 가스도입플레이트(49)의 단차부가 계합되도록 되어 있다. 그리고, 가스도입베이스(48)에 가스도입플레이트(49)가 장착될 때에 공간(48d')에 대응하는 부분에 제 4 가스유로(48d)가 형성된다.
도 5a, 5b는, 가스도입플레이트(49)를 나타낸 것이고, 도 5a는 그 사시도이며, 도 5b는, 도 5a에서의 B-B 단면도이다. 가스도입플레이트(49)는 대략 환상을 이루고, 예컨대 Ti나 A1 등의 금속재, 또는, A1모재에 Ti를 용사 등으로 코팅한 코팅재로 구성되어 있다. 가스도입플레이트(49)는, 단차부를 갖는 원통형의 본체부(49b)와, 그것의 하단외연부에 형성된 악부(49c)를 갖고 있고, 상기 가스토출구멍(49a)는, 본체(49b)의 둘레면을 따라 복수 마련되어 있다. 또한, 악부(49c)에는, 상술한 나사(56)를 삽통하여 가스도입베이스(48)에 고정하기 위한 복수의 고정구멍(49d)이 형성되어 있다.
이들 가스도입베이스(48) 및 가스도입플레이트(49)를 계합시켜, 나사(56)에 의해서 고정한 상태를 도 6에 나타낸다. 이 도면에 도시하는 바와 같이, 가스도입베이스(48)의 단차부와 가스도입플레이트(49)의 단차부를 일치시킨 상태로 조합하 여, 나사(56)로 이들을 고정한다. 그리고, 그 때에, 양자의 사이에 제 4 가스유로(48d)가 형성되어, 이 제 4 가스유로(48d)에 연통하는 가스토출구멍(49a)으로부터 가스가 토출된다. 가스도입플레이트(49)는, 나사(56)에 의해서, 용이하게 가스도입베이스(48)로부터 탈착이 가능한 구조로 되어 있다.
도 7에 도시하는 바와 같이, 제 4 가스유로(48d)의 측으로부터 처리공간 S의 측을 향하여 넓어진 형상, 예컨대 원추형, 나팔형상을 갖는 가스토출구멍(49a')을 형성하도록 해도 좋다. 이것에 의해, 처리가스를 넓은 처리공간 S에 효율좋고 균일하게 공급하는 것이 가능해진다.
다음에, 이상과 같은 가스도입기구(50) 및 플라즈마발생부(40)의 탈착기구에 대하여 플라즈마처리장치(100)의 외관을 도시한 도 8을 참조하여 설명한다.
도 8에 도시하는 바와 같이, 탈착기구(70)는, 가스도입기구(50)의 외주를 규정하는 가스도입플레이트(48)의 한변측에 나사(72c)에 의해 장착된 2개의 제 1 힌지부품(72)과, 이들 2개의 제1 힌지부(72)의 사이에 마련되고, 챔버(10)의 본체(11)에 나사(73c)에 의해 고정된 제 2힌지부품(73)을 갖고 있다. 힌지부품(72) 및 (73)의 중심부에는, 각각 베어링(72a), (73a)이 마련되고 있고, 이들 베어링(72a), (73a)에는 샤프트(71)가 삽통되어 있다. 이것에 의해, 외형이 장방형을 이루는 가스도입기구(50)와 챔버(10)의 외형이 동일의 장방형을 이루는 본체(11)가 합쳐진 장착상태로부터, 샤프트(71)를 회동중심으로 하여, 가스도입기구(50) 및 플라즈마발생부(40)를 상방으로 회동시켜, 이들을 챔버(10)으로부터 분리한 상태로 하는 것이 가능해지고 있다. 즉, 가스도입기구(50) 및 플라즈마발생부(40)는, 탈착 기구(70)에 의해 챔버(10)에 대하여 용이하게 탈착가능하게 되어 있고, 가스도입기구(50) 및 플라즈마발생부(40)를 상방으로 회동시킨 상태로 메인테넌스를 용이하게 실행할 수 있다.
또한, 착탈기구(70)는, 댐퍼(75)를 갖고 있다. 댐퍼(75)는, 고정부재(75a)에 의해 그 일단이 가스도입플레이트(48)에, 타단이 챔버(10)의 본체(11)에 고정되어 있다.
댐퍼(75)는, 예컨대 내부에 유압기구 등을 갖고, 신축이 가능한 구조로 되고 있고, 가스도입기구(50) 및 플라즈마발생부(40)를 상방으로 회동시킬 때에, 신장방향 즉 회동방향에 부세력을 미치게 되어 있다. 이 때문에, 가스도입기구(50) 및 플라즈마발생부(40)를 상방으로 회동시킬 때에, 가스도입기구(50) 및 플라즈마발생부(40)를 지탱하는 힘을 그 만큼 적게 하는 것이 가능하다. 또한, 가스도입베이스(48)에는, 플라즈마발생부(40)의 탈착시, 작업자가 꽉 쥐기 위한 핸들(74)이, 나사(74a)에 의해 장착되어 있다.
다음에, 이상과 같이 구성된 플라즈마처리장치(100)에 의한 처리동작에 대하여 설명한다.
우선, 게이트밸브(37)를 개로 하여, 도시하지 않은 반송아암에 의해 챔버(10)내에 웨이퍼 W를 반입하고, 서셉터(21)로부터 돌출한 웨이퍼승강핀(31)상에 웨이퍼 W를 받는다. 이어서, 웨이퍼승강핀(31)을 하강시켜 웨이퍼 W를 서셉터(21)상면에 탑재하여, 쉐도우링(23)을 하강시킨다.
그 후, 게이트밸브(37)를 폐로 하여, 배기장치(39)에 의해 챔버(10) 및 벨 자(41)내를 배기하여 소정의 감압상태로 하고, 이 감압상태에서 가스공급기구(60)로부터 공급된 Ar 가스 및 H2가스를 가스도입기구(50)를 거쳐서 처리공간 S에 토출시킨다. 이것과 동시에, 고주파전원(25) 및 고주파전원(44)으로부터, 각각 서셉터(21)내의 전극(24) 및 코일(43)에 고주파전력을 공급하는 것에 의해, 처리공간 S에 전계가 발생하고, 벨자(41)내에 도입한 가스를 여기시켜 플라즈마를 점화한다.
플라즈마를 점화한 후, 벨자(41)내에는 유도전류가 흘러, 연속적으로 플라즈마가 생성되고, 그 플라즈마에 의해 웨이퍼 W상에 형성된 자연산화막, 예컨대 실리콘상에 형성된 산화실리콘이나 금속막상에 형성된 금속산화막을 에칭제거한다. 이 때에 고주파전원(25)에 의해 서셉터(21)에 바이어스가 인가되고, 히터(28)에 의해 웨이퍼 W가 소정온도로 유지된다.
이 때의 조건은, 예컨대, 처리공간 S의 압력: 0.1∼l3.3 Pa, 바람직하게는 0.1∼2.7 Pa, 웨이퍼온도: 100∼500℃, 가스유량: Ar가 0.001∼0.03 mL/min, H2가 0∼0.06 L/min 바람직하게는 0∼0.03 L/min, 플라즈마생성용 고주파전원(44)의 주파수: 300 kHz∼60 MHz, 바람직하게는 450 kHz∼13.56 MHz, 전력: 500∼3000 W, 바이어스용 고주파전원(25)의 전력: 0∼1000 W(바이어스전위로 하여-20∼-200 V)이다. 이 때의 플라즈마밀도는, 0.7∼10×010 atoms/cm3이며, 바람직하게는, 1∼6×010atoms/cm3이다. 이러한 조건으로 30초 정도 처리함으로써, 예컨대 실리콘산화막(SiO2)이 10nm 정도제거된다.
이렇게 하여 자연산화막 등의 산화물을 포함하는 불순물층을 제거하는 것에 의해, 예컨대 그 후에 형성되는 막의 밀착성이 향상하거나 전기저항값이 내려가는 등의 효과를 얻을 수 있다.
이 경우에, 처리가스를 토출시키는 가스도입기구(50)는, 상술한 바와 같이, 벨자(41)를 유지하는 기능, 및 챔버(10)의 본체(11)에 탑재되어, 기밀성을 유지하면서, 처리공간 S에 처리가스를 도입하는 기능을 겸비하고 있다. 이 때문에, 플라즈마처리장치의 부품점수를 삭감하여, 구조를 단순화하고, 플라즈마처리장치의 코스트다운으로 되는 효과가 있다.
또한, 반도체웨이퍼 W를 상술한 바와 같이 플라즈마처리하여 스퍼터에칭할 때는, 스퍼터링에 의해, 반도체웨이퍼 W 주위의 부재에 비산물질이 퇴적하면, 파티클 등의 미립자의 발생원인으로 되어, 반도체장치의 생산의 양품률이 저하해 버린다. 예컨대, 반도체웨이퍼 W의 주위의 부재에서 특히 퇴적물이 축적하는 부분, 예컨대 가스토출구멍(49a)의 주위에는 비산물질이 퇴적하기 쉽다.
따라서, 본 실시형태에서는, 가스도입플레이트(49)를 가스도입베이스(48)에 나사(56)에 의해 장착하고, 가스도입플레이트(49)를 분리가능한 구조로 하고 있다. 그 때문에, 가스도입플레이트(49)의 교환이 용이하고, 메인테넌스시간을 짧게 할 수 있다. 또한, 가스도입플레이트(49)는 구조가 단순하고 값싼 부품으로 되어 있어, 메인테넌스시의 코스트를 낮게 억제할 수 있다.
또한, 가스도입기구(50) 및 플라즈마발생부(40)를, 상술한 바와 같이 하여 탈착기구(70)에 의해 용이하게 탈착할 수 있기 때문에, 플라즈마처리를 되풀이하여 메인테넌스가 필요로 될 때, 플라즈마처리장치(100)의 메인테넌스시간을 단축하고, 가동율을 향상시킬 수 있고, 나아가서는 반도체장치의 생산성을 향상시킬 수 있다.
구체적으로는, 벨자(41)를 교환할 때나 웨트클리닝 등의 작업을 행할 때, 챔버(10)의 메인테넌스를 행하는 경우에, 플라즈마발생부(40)를 분리할 필요가 있지만, 상술한 바와 같이 플라즈마발생부(40)를 가스도입기구(50)와 동시에 회동시켜 분리하는 것이 가능하고, 이들의 메인테넌스작업을 단시간으로 실행할 수 있다.
또한, 가스도입기구(50) 및 플라즈마발생부(40)가 이와 같이 용이하게 탈착가능한 것으로부터, 가스도입기구(50) 및 플라즈마발생부(40)를 챔버(10)로부터 분리하여 상술한 바와 같이 가스도입기구의 가스도입플레이트(49)를 교환하는 작업을 용이하게 또한 단시간으로 실행하는 것이 가능해진다.
또한, 탈착기구(70)는 댐퍼(75)를 갖고, 이 댐퍼(75)가 플라즈마발생부(40)에 대하여, 그것이 여는 방향에 부세력을 미치기 때문에, 플라즈마발생부(40)를 회동할 때에 플라즈마발생부(40)를 지탱하는 힘을 그만큼 적게 하는 것이 가능하고, 메인테넌스작업이 용이해져서, 작업효율이 향상한다.
<제2실시형태>
다음에, 본 발명의 제2실시형태에 대하여 설명한다.
도 9는, 본 발명의 제2실시형태에 관한 플라즈마처리장치의 구성의 개략도이다. 플라즈마처리장치(100')는 제1실시형태의 플라즈마처리장치(100)와 같이, 예컨 대 피처리기판상에 형성되는 금속막상이나 실리콘상에 형성되는 자연산화막 등의 산화막을 포함하는 불순물층을 플라즈마에칭하여 제거하는 공정에 이용되는 것이며, 피처리기판인 반도체웨이퍼를 수용하는 챔버(10')와, 챔버(10')내에서 반도체웨이퍼를 유지하는 웨이퍼유지부(20')와, 챔버(10')를 피복하도록 설치되고, 웨이퍼에 플라즈마처리를 실시하는 처리공간 S내에 플라즈마를 발생하는 플라즈마발생부(40')와, 플라즈마를 발생하기 위한 가스를 상기 처리공간 S에 도입하기 위한 가스도입기구(50')와, 가스도입기구(50')에 플라즈마를 생성하기 위한 가스를 공급하는 가스공급기구(60')를 갖고 있다.
이들 중 챔버(10')와, 웨이퍼유지부(20') 및 그 주변의 부재는 제1실시형태와 완전히 동일하게 구성되어 있기 때문에, 도 2와 같은 것에는 같은 부호를 부여하고 설명을 생략한다.
플라즈마발생부(40')는, 벨자(141)와, 벨자(141)의 외측에 권회된 안테나부재로서의 코일(143)과, 코일(143)에 고주파전력을 공급하는 고주파전원(144)과, 벨자(141)의 천벽상에 마련된 대향전극으로서의 도전성부재(147)를 갖는다.
벨자(141)는, 예컨대 석영이나 A12O3, AlN 등의 세라믹재료와 같은 유전체재료로 형성되어 있고, 원통상의 측벽부(141a)와, 그 위의 돔형상의 천벽부(141b)(반경 R1= 1600 mm∼2200 mm)와, 측벽부(141a)와 천벽부(141b)를 접속하는 만곡형상의 코너부(141c)(반경 R2= 20 mm∼40 mm)를 갖는 다반경 돔형상을 보이고 있다. 이 벨자(141)의 원통을 형성하는 측벽부(141a)의 외측에는 상기 코일(143)이 대략 수평 방향으로 코일과 코일의 사이가 5∼10 mm피치로 바람직하게는 8 mm 피치로 소정의 권회수로 권회되어 있고, 코일(143)은, 예컨대 불소수지 등의 절연재로 써포트되어 고정된다. 도시의 예에서는 코일(143)의 권회수는 4권이다. 상기 고주파전원(144)은, 정합기(145)를 거쳐서 코일(143)에 접속되어 있다. 고주파전원(144)은 300 kHz∼60 MHz의 주파수를 갖고 있다. 바람직하게는 450 kHz∼13.56 MHz 이다. 그리고, 고주파전원(144)으로부터 코일(143)에 고주파전력을 공급하는 것에 의해, 유전체재료로 이루어지는 벨자(141)의 측벽부(l41a)를 거쳐서 벨자(141) 내측의 처리공간 S에 유도전자계가 형성되도록 되어 있다.
가스도입기구(50')는, 챔버(10')와 벨자(141)의 사이에 마련된, 링형상을 이루는 가스도입부재(130)를 갖고 있다. 이 가스도입부재(130)는 A1 등의 도전성재료로 이루어지고, 접지되어 있다. 가스도입부재(130)에는, 그 내주면을 따라 복수의 가스토출구멍(131)이 형성되어 있다. 또한 가스도입부재(130)의 내부에는 환상의 가스유로(132)가 마련되어 있고, 이 가스유로(132)에는 가스공급기구(60')로부터 후술하는 바와 같이 Ar가스, H2가스 등이 공급되고, 이들 가스가 가스유로(132)로부터 상기 가스토출구멍(131)을 거쳐서 처리공간 S로 토출된다. 가스토출구멍(131)은, 수평을 향하여 형성되고, 처리가스가 벨자(141)내에 공급된다. 또한, 가스토출구멍(131)을 기울여 위로 향하게 형성하고, 처리가스를 벨자(141)내의 중앙부로 향하여 공급하도록 해도 좋다.
가스공급기구(60')는, 플라즈마처리용의 가스를 처리공간 S에 도입하기 위한 것이고, 예컨대 도 2의 가스공급기구(60)와 같이, 가스공급원, 개폐밸브, 및 유량제어를 위한 매스플로우컨트롤러(모두 도시하지 않음)를 갖고 있고, 가스배관(161)을 거쳐서 상기 가스도입부재(130)에 소정의 가스를 공급한다. 또, 각 배관의 밸브 및 매스플로우컨트롤러는 도시하지 않는 콘트롤러에 의해 제어된다.
플라즈마처리용의 가스로는, Ar, Ne, He가 예시되고, 각각 단독으로 이용할 수 있다. 또한, Ar, Ne, He 중 어느 것과 H2와의 병용, 및 Ar, Ne, He 중 어느 것과 NF3와의 병용이더라도 좋다. 이들 중에는, 도 2의 경우와 같이, Ar단독, Ar + H2이 바람직하다. 플라즈마처리용의 가스는, 에칭하고자 하는 타겟에 따라 적절히 선택된다.
상기 도전성부재(147)는, 대향전극으로서 기능함과 동시에, 벨자(141)를 가압하는 기능을 갖고, 표면이 양극으로 산화된 알루미늄, 알루미늄, 스테인리스강, 티탄 등으로 형성되어 있다.
다음에, 벨자(141)에 대하여 보다 상세히 설명한다.
본 실시형태에서는, 플라즈마의 균일성을 향상시켜 에칭의 면내균일성을 높이도록, 벨자(141)의 편평도 등을 규정하고 있다.
즉, 벨자(141)의 측벽부(141a)의 내경 D와, 돔형상의 천벽부(141b)의 중앙부분의 높이 H와의 비 D/H로 정의되는 편평율 K(= D/H)의 값은, 1.60∼9.25로 되도록 구성되어 있다.
편평율 K가 1.60보다 작으면 면내균일성은 향상할 수 없고, 편평율 K가 9.25 보다 크면 플라즈마형성에 필요한 코일(143)의 권회가 실질적으로 곤란하게 된다.
또한, 벨자(14l)의 원통형의 측벽부(141a)의 내경 D와, 돔형상의 천벽부(141b)의 중앙부분의, 서셉터(21)의 위로부터의 높이 H1와의 비 D/H1로 정의되는 편평율 Kl(= D/Hl)의 값은, 0.90∼3.85가 되도록 구성되어 있다.
이러한 편평율을 갖는 경우, 결과적으로, 코일(143)의 권수는, 10회 이하, 바람직하게는, 7∼2회 정도, 보다 바람직하게는, 4∼2회 정도가 된다.
이 벨자(l41)의, 돔형상의 천벽부(141b)의 중앙부분의 높이 H의 값, 돔형상의 천벽부(141b)의 중앙부분의, 서셉터(21)의 위로부터의 높이 H1의 값, 및 원통형의 측벽부(141a)의 내경 D의 값은, 일례로서, 각각, H = 98mm, H1 = 209mm, 및 D = 450mm 이며, 이 때의 편평율 K = 4.59, 편평율 K1 = 2.15이다.
또한, 그 외의 각부의 치수관계의 일례를 나타내면, 벨자(141)의 돔부의 내측측정높이를 H2, 벨자(141)의 원통부분의 높이를 H3(즉, H = H2 + H3), 가스도입부재(130)의 두께를 H4, 서셉터(21)의 상면으로부터 챔버(10')의 개구단상면(가스도입부재(130)의 탑재면)까지의 높이를 H5, 서셉터(21)의 상면으로부터 가스도입부재(130)의 상면까지의 높이를 H6로 했을 때, 각부의 치수값, 비율은, 일례로서 이하와 같이 된다.
즉, 비율 K2= H/H6은, 대략 0.55∼1.50이다. 비율 K3= H2/H3은 2.1이하이며, 바람직하게는 0.85이하, 보다 바람직하게는 0.67이하이다.
또한, 비율 K4 = H2/(H3 + H6)은, 0.75미만이며, 바람직하게는, 0.65이하, 더욱 바람직하게는, 대략 0.55이하이다.
또한, H2가 대략 29∼74mm인 경우, H6 + H3는, 대략 97∼220mm이다. H3가 대략 35mm 이상인 경우, H5 + H4는 대략 62∼l20mm 이다. H2가 대략 29mm의 경우, H3가 대략 35∼100mm에서는, H5는 대략 0∼72 mm이하, 바람직하게는, 대략 22∼72mm이다.
이상과 같은 비율로 형성한 벨자(141)를 이용함으로써 벨자(141)내의 외주부분에 있어서 플라즈마밀도가 높은 영역이 웨이퍼 W측으로 이행하여, 플라즈마밀도가 균일한 영역을 넓게 하는 것이 가능하다. 이에 따라, 웨이퍼 W의 존재부분에 균일한 플라즈마가 형성되어, 에칭균일성이 양호해 진다. 이 때문에, 특히 대구경의 웨이퍼(기판)에 효과적이다.
다음에, 이와 같이 구성되는 플라즈마처리장치(100')에 의한 처리동작에 대하여 설명한다.
우선, 게이트밸브(37)를 개로 하여, 도시하지 않는 반송아암에 의해 챔버(10')내에 웨이퍼 W를 반입하고, 서셉터(21)로부터 돌출한 웨이퍼승강핀(31)상에 웨이퍼 W를 건네 받는다. 이어서, 웨이퍼승강핀(31)을 하강시켜 웨이퍼 W를 서셉터(21)상면에 탑재하고, 쉐도우링(23)을 하강시킨다.
그 후, 게이트밸브(37)를 폐로 하여, 배기장치(39)에 의해 챔버(10') 및 벨자(141)내를 배기하여 소정의 감압상태로 하고, 이 감압상태에서 가스공급기구(60')로부터 공급된 소정의 가스, 예컨대 Ar 가스를 가스도입부재(130)의 가스토출구멍(131)으로부터 벨자(141)내에 토출시킨다. 이것과 동시에, 바이어스용의 고 주파전원(25) 및 플라즈마생성용의 고주파전원(144)으로부터, 각각 서셉터(21)내의 전극(24) 및 코일(143)에 고주파전력을, 각각, 0∼1000W 및 500∼3000W 공급함으로써, 코일(143)과 도전성부재(147)와의 사이 등에 전계가 발생하고, 벨자(141)내에 도입한 가스를 여기시켜 플라즈마를 점화한다. 플라즈마를 점화한 후, 벨자(141)내에는 유도전류가 흘러, 연속적으로 플라즈마가 생성되어, 그 플라즈마에 의해 웨이퍼 W상에 형성된 자연산화막, 예컨대 실리콘상에 형성된 산화실리콘이나 금속막상에 형성된 금속산화막을 에칭제거한다. 이 때에 고주파전원(25)에 의해 서셉터(21)에 바이어스가 인가되고, 히터(28)에 의해 웨이퍼 W가 소정온도로 유지된다. 그 온도는 20∼800℃이며, 바람직하게는 20∼200℃이다.
이 때의 플라즈마밀도는, 0.7∼1×1010 atoms/cm3이며, 바람직하게는, 1∼6×1010 atoms/cm3이다. 이러한 플라즈마로 30초 정도 처리하는 것에 의해, 예컨대 실리콘산화막(SiO2)이 10nm 정도 제거된다.
이렇게 하여 자연산화막 등의 산화물을 포함하는 불순물층을 제거함으로써, 예컨대 그 후에 형성되는 막의 밀착성이 향상하거나, 전기저항값이 내려가는 등의 효과를 얻을 수 있다.
여기서, 본 실시형태의 경우에는, 상술한 바와 같이 벨자(141)의 편평율 K를 1.60∼9.25로, 혹은 편평율 K1을 0.90∼3.85로 하고 있기 때문에, 벨자(141)내에 형성되는 플라즈마가, 웨이퍼 W의 표면전체에 대하여 균일하게 넓어지도록 형성되고, 벨자(141)내의 외주부에서 플라즈마밀도가 높은 영역이 웨이퍼측으로 이행되기 때문에, 플라즈마에 의한 웨이퍼 W에 대한 에칭처리가 표면전체에 대하여 균일하게 행해지는 것으로 되어, 에칭의 면내균일성이 향상한다. 이 경우, R1 = 1600mm ∼ 2200mm, R2 = 20mm ∼ 40mm로 규정함으로써, 특히 R1을 크게 하는 것으로, 벨자(141)의 단면형상이 장방형에 가까운 편평상으로 되고, 벨자(141)내에 형성되는 플라즈마가, 웨이퍼 W의 표면전체에 대하여 보다 균일하게 넓어지도록 형성된다. 따라서, 플라즈마에 의한 웨이퍼 W에 대한 에칭처리가 표면전체에 대하여 균일하게 행해지게 되어, 에칭의 면내균일성이 향상한다.
도 10a는, 종래의 높이가 높은 벨자(높이 H가 137mm, 내경 D가 450mm, 코일의 권회수가 10권)의 경우에 있어서 벨자내의 Ar 플라즈마의 Ar+ 밀도분포의 시뮬레이션결과를 나타내고, 도 10b는, 본 실시형태의 벨자(141)(높이 H가 98mm, 내경 D가 450mm, 코일의 권회수가 4권)에 있어서 플라즈마중의 Ar+ 밀도분포의 시뮬레이션결과를 나타내고 있다.
도 10a의 종래의 경우에 비교하여, 보다 편평한 형상의 본 실시예의 도 10b의 쪽이, 웨이퍼 W의 평면방향으로 균일한 확대를 갖는 Ar+의 밀도분포가 보이고, 웨이퍼 W에 대한 플라즈마에 의한 에칭의 면내균일성이 향상하는 것이 이 시뮬레이션결과로부터도 뒷받침되고 있다.
즉, 에칭의 균일성을 향상시키기 위해서는, 웨이퍼면상영역에 플라즈마(Ar+ 이온밀도)를 균일하게 형성할 필요가 있다. 따라서, 플라즈마가 균일한 영역을 형성하기 위해서는, 균일하게 형성하는 Ar+ 이온밀도의 영역에 웨이퍼 W가 잠기는 것 이 바람직하다.
결국, 벨자(141)를 횡으로 넓게 형성하면 플라즈마가 넓어지지만, 장치가 커지고, 또한, 플라즈마밀도도 감소하고, 파워도 필요하게 되기 때문에 장치코스트가 높아진다.
본 실시형태의 경우에는, 벨자(141)의 편평율 K, K1, 및 비율 K2∼K4, 그리고 탑재대면으로부터 벨자(141)내의 천장부까지의 높이 H1 등을 최적화했기 때문에, 장치의 대형화나 소비전력의 증대를 초래하는 일없이, 저코스트로 플라즈마밀도를 유지하고, 균일성을 향상시킬 수 있다.
도 11에, 탑재대면으로부터 벨자(141)내의 천장부까지의 높이 H1와 에칭균일성의 관계의 일례를 나타낸다. 이 도 11에 예시된 바와 같이, H1가 210mm까지는 에칭균일성이 거의 일정이지만, 250mm를 넘으면 에칭균일성이 크게 저하하고 있다. 이 때문에, 본 실시형태의 경우에는, 상술한 바와 같이, 일례로서, H1= 209mm으로 하는 것으로, 양호한 에칭균일성을 달성하고 있다.
또, 본 실시형태에서는, 코일(143)의 권회수를 삭감하고, 벨자(141)의 높이를 감축하여, 벨자(141)를 편평화하지만, 챔버(10')는, 종래의 구성을 그대로 이용한다. 그 이유는, 통상, 챔버는, 서셉터나 게이트밸브 등의 기구를, 다른 성막장치 등의 프로세스장치와 공통의 설계로 하는 것으로, 코스트다운이 가능해짐과 동시에, 챔버에 대하여 웨이퍼의 반출입을 행하는 외부반송기구나 로드록실과의 접속구조를 복수종의 성막장치나 에칭장치 등의 프로세스장치로 공통화함으로써, 즉, 챔버와 외부반송기구나 로드록실과의 접속구조의 표준화에 의해, 복수의 프로세스장 치를 상호 접속하는 멀티챔버화가 용이해지기 때문이다.
바꾸어 말하면, 본 실시형태의 플라즈마처리장치에 의하면, 종래의 챔버를 그대로 이용하는 것으로, 코스트를 억제하면서, 또한 범용성을 손상하는 일없이, 웨이퍼에 대한 플라즈마처리에 있어서 면내균일성의 향상을 실현하는 것이 가능하다.
본 실시형태의 플라즈마처리장치에 있어서, 가스도입기구로서 상기 제1 실시형태와 동일한 것을 이용하는 것이 바람직하다. 그 구성을 도 12에 나타낸다. 이 도의 플라즈마처리장치는, 도 9의 가스도입기구(50')대신에, 제1 실시형태의 가스도입기구(50)를 이용하고 있다. 그 이외에는, 도 9와 같이 구성되어 있다.
또, 본 실시형태에 있어서도, 제1 실시형태의 탈착기구(70)와 동일한 탈착기구를 마련하는 것이 바람직하다.
<제 3 실시형태>
다음에, 본 발명의 제3 실시형태에 대하여 설명한다. 이 제3 실시형태는, 피처리기판인 반도체웨이퍼 W의 탑재구조에 특징이 있다.
도 13은, 본 발명의 제3 실시형태에 관한 플라즈마처리장치에 있어서의 반도체웨이퍼탑재구조를 나타내는 개략단면도이다. 본 실시형태에서는 서셉터(21)상에 캡형상의 마스크플레이트(170)가 탈착 자유롭게 마련되어 웨이퍼유지부(20")가 구성되고, 이 마스크플레이트(170)의 표면상에 웨이퍼 W가 탑재되도록 되어 있다. 반도체웨이퍼탑재구조나 챔버주위의 구조는, 제2 실시형태와 마찬가지이기 때문에, 도 13에 있어서, 제2 실시형태의 도 10과 같은 것에는 같은 부호를 부여하고 설명을 간략화한다.
마스크플레이트(170)는, 석영(SiO2) 등의 유전체로 구성되어 있다. 이 마스크플레이트(170)는, 웨이퍼 W를 탑재하지 않은 상태에서 플라즈마처리를 행하여 챔버(10')내의 초기화를 행하기 위해서, 그리고 서셉터(21)로부터 웨이퍼 W로 오염물이 비산하는 것을 방지하기 위해서 마련되고 있고, 특히 실리콘상의 산화물을 에칭제거할 때에 효과적이다.
도 14의 확대단면도에 예시된 바와 같이, 마스크플레이트(170)의 상면은, 탑재되는 웨이퍼 W의 이면에 접하는 웨이퍼탑재영역(170a), 및 그 외측의 주변영역(170b)이, 단차를 이루는 일없이, 같은 두께(높이)로 평탄하게 형성되어 있다.
일례로서 웨이퍼 W의 직경이 300mm인 경우, 마스크플레이트(170)의 외경은, 일례로서, 352mm이다.
서셉터(21) 및 마스크플레이트(170)에 있어서, 웨이퍼탑재영역(170a)에 대응하는 위치에는, 웨이퍼 W를 지지하여 승강시키기 위한 3개(2개만 도시)의 웨이퍼승강핀(31)이 삽통되는 관통공(31b) 및 관통공(170c)이 천설되어 있고, 이 관통공(31b) 및 관통공(170c)을 통하여, 웨이퍼승강핀(31)이 마스크플레이트(170)의 상면에 대하여 돌출 및 함몰가능하게 되어 있다.
도 15에 예시되는 바와 같이, 마스크플레이트(170)의 상면의 주변영역(170b)에는, 웨이퍼 W의 외연부를 둘러싸도록, 복수(본 실시형태의 경우는 6개)의 위치결 정돌기(171)가 둘레방향으로 거의 등간격으로 배열되어 있고, 웨이퍼탑재영역(170a)에 탑재된 웨이퍼 W의 위치 어긋남을 방지하고 있다. 도 14에 예시되는 바와 같이, 위치결정돌기(171)의 배열영역의 직경은, 그 내측에 배치되는 웨이퍼 W의 외주와 각각의 위치결정돌기(171)와의 간격 G가 0.5∼2 mm, 바람직하게는 1 mm로 되도록 설정된다.
이 위치결정돌기(171)의 치수는, 높이가 웨이퍼 W의 두께보다도 낮은 것이 바람직하고, 높이는 0.775mm 이하이며, 더욱 바람직하게는, 0.7mm이하, 보다 바람직하게는 0.05∼0.3mm 이하이고, 직경은 0.2∼5mm이다. 위치결정돌기(171)의 치수는, 일례로서, 직경이 2.4mm이고 높이가 0.3mm이며, 직경 352mm인 마스크플레이트(170)의 표면에서 차지하는 면적은 무시할 수 있는 정도로 작다. 즉, 마스크플레이트(170)의 표면의 주변영역(170b)은, 실질적으로 웨이퍼탑재영역(170a)과 같은 높이로 평탄하다.
마스크플레이트(170)의 상면의 웨이퍼탑재영역(170a)에는, 중심부로부터 방사상으로 통기홈(172)이 각설되어 있고, 이 통기홈(172)의 단부는, 웨이퍼승강핀(31)이 삽통되는 관통공(170c) 및 관통공(31b)에 연통하고 있다. 그리고, 웨이퍼 W를 마스크플레이트(170)상의 웨이퍼탑재영역(170a)에 탑재할 때에는, 웨이퍼 W의 이면과 마스크플레이트(170) 사이의 분위기가 통기홈(172) 및 관통공(170c), 관통공(31b)을 통하여 서셉터(21)의 이면측에 신속히 배출된다. 이것에 의해, 웨이퍼 W가 불안정한 부동상태로 되어 위치가 어긋나는 것을 방지하고, 안정하고 신속한 탑재조작을 행할 수 있게 된다. 반대로, 웨이퍼승강핀(31)의 쳐올림 동작으로 웨이퍼 W를 마스크플레이트(170)상으로부터 부상시킬 때에는, 웨이퍼 W의 이면측에 관통공(31b), 관통공(170c) 및 통기홈(172)을 통하여 서셉터(21)의 이면측의 분위기가 유입하는 것에 의해, 웨이퍼 W의 이면측이 부압으로 되어 부상을 방해하는 흡착력이 발생하는 것을 방지하고, 웨이퍼 W의 빠른 부상조작을 실현하는 것이 가능하다.
여기서, 도 13∼도 15에 예시되는 마스크플레이트(170)는, 상술한 바와 같이, 탑재되는 웨이퍼 W의 이면에 접하는 웨이퍼탑재영역(170a), 및 그 외측의 주변영역(170b)이, 단차를 형성하는 일없이, 같은 두께(높이)로 평탄하게 형성되어 있기 때문에, 플라즈마형성시에 있어서 마스크플레이트(170)(서셉터(21))의 상면내에서 임피던스의 분포가, 웨이퍼탑재영역(170a), 및 그 외측의 주변영역(170b)에서 균일하게 된다. 이 때문에, 플라즈마의 밀도분포가, 웨이퍼탑재영역(170a)(웨이퍼 W의 표면) 위와, 그 외측의 주변영역(170b)에서 균일화되고, 임피던스의 분포의 편차 등에 기인하여, 웨이퍼 W의 중심부와 주변부에서 에칭속도가 다른 등의 처리의 격차가 해소되고, 웨이퍼 W 전면에 있어서 에칭처리 등의 플라즈마처리의 면내균일성이 향상한다.
도 16은, 마스크플레이트(170)의 웨이퍼탑재영역(170a)에 웨이퍼 W를 위치결정하기 위한 단차를 형성한 경우에 있어서, 해당 단차의 높이 치수 Ts(가로축: 단위 mm)의 값과, 에칭결과의 차이 NU(세로축: 단위%, 1σ의 범위로부터 벗어난 측정결과의 개수의 전 측정결과에 대한 백분률이며 작을 수록 균일)을 나타낸 선도이다.
이 도 16으로부터도 분명한 바와 같이, Ts의 값이 작을수록, 에칭의 차이 NU %도 작아지고, Ts = 0(즉, 본 실시형태와 같이, 웨이퍼탑재영역(170a)과 주변영역(170b)과의 단차가 없는 평탄한 경우에 상당)이고, 차이가 최소로 되어, 면내균일성이 가장 양호하게 되는 것을 알 수 있다.
본 실시형태와 같이, 마스크플레이트(170)를 구비한 웨이퍼탑재구조를, 도 10의 제2실시형태에 관한 편평한 벨자(141)를 구비한 플라즈마처리장치(100')에 적용한 경우에는, 해당 벨자(141)의 편평화에 의한 플라즈마 분포밀도의 균일화와의 상승효과로, 보다 면내균일성을 향상시키는 효과를 기대할 수 있다.
또한, 본 실시예의 마스크플레이트(170)를 구비한 웨이퍼탑재구조는, 코일(143)의 권회수가 7회 이상인 비교적 높이가 높은 벨자를 구비한 종래의 플라즈마처리장치에 적용한 경우에서도 면내균일성의 향상 효과를 얻을 수 있다.
또, 이상 설명한 실시형태는, 어디까지나 본 발명의 기술적 내용을 분명히 하는 것을 의도하는 것이며, 본 발명은 이러한 실시형태만 한정하고 해석되는 것이 아니라, 본 발명의 사상의 범위내에서, 다양하게 변경하여 실시하는 것이 가능한 것이다.
예를 들어, 상기 실시형태에서는 본 발명을 자연산화막의 제거를 행하는 장치에 적용한 경우를 나타냈지만, 본 발명은 콘택트에칭 등을 행하는 다른 플라즈마에칭장치에 적용하는 것도 가능하고, 나아가서는, 본 발명을 다른 플라즈마처리장치에 적용하는 것도 가능하다. 또한, 피처리체로서 반도체웨이퍼를 이용한 예에 대하여 나타냈지만, 이것에 한하지 않고, LCD 기판 등, 다른 피처리체에 대해서도 적 용가능하다.
또한, 본 발명의 범위를 일탈하지 않는 한, 상기 실시형태의 구성요소를 적절히 조합한 것, 또는 상기 실시형태의 구성요소를 일부제거한 것도 본 발명의 범위 내이다.
본 발명의 실시 형태에 의하면, 가스도입플레이트(49)를 가스도입베이스(48)에 나사(56)에 의해 장착하고, 가스도입플레이트(49)를 분리가능한 구조로 하고 있다. 그 때문에, 가스도입플레이트(49)의 교환이 용이하고, 메인테넌스시간을 짧게 할 수 있다. 또한, 가스도입플레이트(49)는 구조가 단순하고 값싼 부품으로 되어 있어, 메인테넌스시의 코스트를 낮게 억제할 수 있다.
또한, 가스도입기구(50) 및 플라즈마발생부(40)를, 상술한 바와 같이 하여 탈착기구(70)에 의해 용이하게 탈착할 수 있기 때문에, 플라즈마처리를 되풀이하여 메인테넌스가 필요로 될 때, 플라즈마처리장치(100)의 메인테넌스시간을 단축하고, 가동율을 향상시킬 수 있고, 나아가서는 반도체장치의 생산성을 향상시킬 수 있다.
본 발명의 다른 실시 형태에 의하면, 벨자(141)의 편평율 K, K1, 및 비율 K2∼K4, 그리고 탑재대면으로부터 벨자(141)내의 천장부까지의 높이 H1 등을 최적화했기 때문에, 장치의 대형화나 소비전력의 증대를 초래하는 일없이, 저코스트로 플라즈마밀도를 유지하고, 균일성을 향상시킬 수 있다.
또, 코일(143)의 권회수를 삭감하고, 벨자(141)의 높이를 감축하여, 벨 자(141)를 편평화하지만, 챔버(10')는, 종래의 구성을 그대로 이용한다. 그 이유는, 통상, 챔버는, 서셉터나 게이트밸브 등의 기구를, 다른 성막장치 등의 프로세스장치와 공통의 설계로 하는 것으로, 코스트다운이 가능해짐과 동시에, 챔버에 대하여 웨이퍼의 반출입을 행하는 외부반송기구나 로드록실과의 접속구조를 복수종의 성막장치나 에칭장치 등의 프로세스장치로 공통화함으로써, 즉, 챔버와 외부반송기구나 로드록실과의 접속구조의 표준화에 의해, 복수의 프로세스장치를 상호 접속하는 멀티챔버화가 용이해지기 때문이다.
본 발명의 또 다른 실시 형태에 의하면, 마스크플레이트(170)를 구비한 웨이퍼탑재구조를, 도 10의 제2실시형태에 관한 편평한 벨자(141)를 구비한 플라즈마처리장치(100')에 적용한 경우에는, 해당 벨자(141)의 편평화에 의한 플라즈마 분포밀도의 균일화와의 상승효과로, 보다 면내균일성을 향상시키는 효과를 기대할 수 있다.
또한, 마스크플레이트(170)를 구비한 웨이퍼탑재구조는, 코일(143)의 권회수가 7회 이상인 비교적 높이가 높은 벨자를 구비한 종래의 플라즈마처리장치에 적용한 경우에서도 면내균일성의 향상 효과를 얻을 수 있다.

Claims (6)

  1. 피처리기판에 대하여 플라즈마 처리를 행하는 플라즈마처리장치에 있어서,
    피처리기판을 수용하는 챔버와,
    상기 챔버의 상방에 챔버와 연통하도록 마련된 유전체로 이루어지는 벨자 및 상기 벨자의 외측의 주위에 코일형상으로 권회되어 상기 벨자내에 유도 전계를 형성하는 안테나를 갖고, 상기 벨자의 내측으로 플라즈마를 발생시키는 플라즈마발생부와,
    상기 플라즈마발생부와 상기 챔버와의 사이에 마련되고, 상기 플라즈마발생부와 상기 챔버로 형성되는 처리공간에 플라즈마형성용의 처리가스를 도입하는 처리가스도입기구와,
    상기 챔버내에 마련된 피처리기판이 지지되는 탑재대와,
    유전체로 이루어져 상기 탑재대를 피복함과 동시에 상기 피처리기판이 탑재되는 마스크를 구비하고,
    상기 마스크는, 상기 피처리기판이 탑재되는 제1 영역과, 상기 제1 영역의 주위의 제2 영역이 동일한 높이로 구성되어 있는
    플라즈마 처리장치.
  2. 제 1 항에 있어서,
    상기 제2 영역에는, 상기 피처리기판을 상기 제1 영역의 위치에 위치결정하는 복수의 돌기가 설치되는
    플라즈마 처리장치.
  3. 제 1 항에 있어서,
    상기 제1 영역에는, 상기 피처리기판을 상기 탑재대로부터 부상시키기 위한 승강핀이 관통하는 복수의 핀 구멍과, 상기 핀 구멍에 연통하는 홈패턴이 마련되어 있는 것을 특징으로 하는
    플라즈마 처리장치.
  4. 제 1 항에 있어서,
    상기 벨자의 내경 D와, 상기 벨자의 중앙부의 내측측정높이 H와의 비 D/H로 표시되는 편평율 K가, 1.60~9.25인
    플라즈마 처리장치.
  5. 제 1 항에 있어서,
    상기 벨자의 내경 D와, 상기 벨자의 중앙부의 천장 부분과 상기 탑재대와의 거리 H1와의 비 D/H1로 표시되는 편평율 K1이, 0.90~3.85인 것을 특징으로 하는
    플라즈마 처리장치.
  6. 제 1 항에 있어서,
    상기 벨자는, 반경 R1이 1600mm∼2200mm인 천벽부와, 원통형의 측벽부와, 상기 천벽부와 상기 측벽부를 접속하는 반경 R2가 20mm∼40mm인 코너부로 이루어지는 다반경 돔형상을 나타내는
    플라즈마 처리 장치.
KR1020077001009A 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마 처리장치 KR100739890B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003127201 2003-05-02
JPJP-P-2003-00127201 2003-05-02
JP2003180865 2003-06-25
JPJP-P-2003-00180865 2003-06-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057019954A Division KR100756095B1 (ko) 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마처리장치

Publications (2)

Publication Number Publication Date
KR20070012573A true KR20070012573A (ko) 2007-01-25
KR100739890B1 KR100739890B1 (ko) 2007-07-13

Family

ID=33422096

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020057019954A KR100756095B1 (ko) 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마처리장치
KR1020077001004A KR100783829B1 (ko) 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마처리장치
KR1020077001009A KR100739890B1 (ko) 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마 처리장치

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020057019954A KR100756095B1 (ko) 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마처리장치
KR1020077001004A KR100783829B1 (ko) 2003-05-02 2004-04-28 처리가스도입기구 및 플라즈마처리장치

Country Status (4)

Country Link
US (2) US20060060141A1 (ko)
JP (3) JP4394073B2 (ko)
KR (3) KR100756095B1 (ko)
WO (1) WO2004097919A1 (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101149332B1 (ko) * 2005-07-29 2012-05-23 주성엔지니어링(주) 플라즈마 식각 장치
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR101329570B1 (ko) * 2007-02-06 2013-11-22 (주)소슬 막 형성 장치
KR101329569B1 (ko) * 2007-02-06 2013-11-14 램 리써치 코포레이션 막 형성 장치
JP2010524225A (ja) 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP4931716B2 (ja) * 2007-07-18 2012-05-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ生成室
JP2009152434A (ja) * 2007-12-21 2009-07-09 Tokyo Electron Ltd 基板処理装置
US8828852B2 (en) * 2009-12-10 2014-09-09 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
JP5551946B2 (ja) * 2010-03-10 2014-07-16 東京エレクトロン株式会社 表面平坦化方法
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US9336996B2 (en) * 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR101446455B1 (ko) 2011-04-04 2014-10-01 캐논 아네르바 가부시키가이샤 처리 장치
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN103426793B (zh) * 2012-05-24 2016-02-03 沈阳芯源微电子设备有限公司 基板冷热处理装置
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
KR101546447B1 (ko) 2014-03-20 2015-08-25 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP2016091654A (ja) * 2014-10-30 2016-05-23 東京エレクトロン株式会社 プラズマ処理装置
JP6503730B2 (ja) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 成膜装置
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
JP6608218B2 (ja) * 2015-08-12 2019-11-20 株式会社ディスコ プラズマエッチング装置
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) * 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
WO2017169556A1 (ja) * 2016-03-30 2017-10-05 東京エレクトロン株式会社 プラズマ電極およびプラズマ処理装置
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP6615134B2 (ja) * 2017-01-30 2019-12-04 日本碍子株式会社 ウエハ支持台
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
WO2020123119A1 (en) * 2018-12-10 2020-06-18 Applied Materials, Inc. Dome stress isolating layer
JP7194941B2 (ja) * 2019-04-18 2022-12-23 パナソニックIpマネジメント株式会社 プラズマ処理装置
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
KR20210125155A (ko) * 2020-04-07 2021-10-18 삼성디스플레이 주식회사 표시 장치의 제조방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US577289A (en) * 1897-02-16 Fluid-pressure regulator
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
JPH01126190A (ja) * 1987-11-10 1989-05-18 Mitsubishi Electric Corp 直流ブラシレスモータの制御装置
JPH01167746A (ja) * 1987-12-23 1989-07-03 Fuji Photo Film Co Ltd ハロゲン化銀写真感光材料
JPH04336426A (ja) * 1991-05-14 1992-11-24 Fujitsu Ltd 半導体装置の製造方法
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US5738751A (en) * 1994-09-01 1998-04-14 Applied Materials, Inc. Substrate support having improved heat transfer
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
JP3636864B2 (ja) * 1997-06-11 2005-04-06 東京エレクトロン株式会社 処理装置およびステージ装置
JPH1126190A (ja) * 1997-07-04 1999-01-29 Hitachi Ltd プラズマ処理装置
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
JPH11135296A (ja) * 1997-07-14 1999-05-21 Applied Materials Inc マルチモードアクセスを有する真空処理チャンバ
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4089873B2 (ja) * 2001-06-01 2008-05-28 東京エレクトロン株式会社 プラズマ処理装置およびその初期化方法
JP4219628B2 (ja) * 2001-07-27 2009-02-04 東京エレクトロン株式会社 プラズマ処理装置および基板載置台
JP4308564B2 (ja) * 2002-04-09 2009-08-05 パナソニック株式会社 プラズマ処理装置及びプラズマ処理用トレー

Also Published As

Publication number Publication date
KR20070012572A (ko) 2007-01-25
US20060060141A1 (en) 2006-03-23
KR100739890B1 (ko) 2007-07-13
JPWO2004097919A1 (ja) 2006-07-13
JP5279656B2 (ja) 2013-09-04
WO2004097919A1 (ja) 2004-11-11
JP4394073B2 (ja) 2010-01-06
US8191505B2 (en) 2012-06-05
US20090260762A1 (en) 2009-10-22
JP2009283975A (ja) 2009-12-03
KR20060003891A (ko) 2006-01-11
KR100783829B1 (ko) 2007-12-10
JP2009272657A (ja) 2009-11-19
KR100756095B1 (ko) 2007-09-05

Similar Documents

Publication Publication Date Title
KR100739890B1 (ko) 처리가스도입기구 및 플라즈마 처리장치
JP5600644B2 (ja) ワークピース製造装置
KR100752800B1 (ko) 반도체처리용의 기판유지구조 및 플라즈마 처리장치
TWI469238B (zh) 電漿蝕刻處理裝置及電漿蝕刻處理方法
US20150020848A1 (en) Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
KR20010030159A (ko) 플라즈마 처리 장치
WO2011143062A2 (en) Confined process volume pecvd chamber
CN100508117C (zh) 等离子体处理装置
KR100491945B1 (ko) 플라즈마 처리 장치
US20090314435A1 (en) Plasma processing unit
JP3946640B2 (ja) プラズマ処理装置およびプラズマ処理方法
TW201707047A (zh) 電漿處理方法及電漿處理裝置
JP2010267708A (ja) 真空処理装置および真空処理方法
KR100725614B1 (ko) 플라즈마 처리 장치
JP2007184611A (ja) プラズマ処理装置およびプラズマ処理方法
KR100627785B1 (ko) 유도 결합 플라즈마 처리 장치
JPH0476495B2 (ko)
JP2004047500A (ja) プラズマ処理装置およびその初期化方法
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치
JPH01189126A (ja) エッチング装置
TW202141620A (zh) 清洗方法及半導體裝置之製造方法
KR20000021278U (ko) 드라이 에칭 챔버의 상부 전극
KR20030044199A (ko) 고주파 인가형 반도체 장치 제조 장비 및 이를 이용한공정 챔버 세정 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140626

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190618

Year of fee payment: 13