KR20070006991A - 소수성 또는 초소수성 처리를 위하여 상압 플라즈마를이용한 표면코팅방법 - Google Patents

소수성 또는 초소수성 처리를 위하여 상압 플라즈마를이용한 표면코팅방법 Download PDF

Info

Publication number
KR20070006991A
KR20070006991A KR1020060063916A KR20060063916A KR20070006991A KR 20070006991 A KR20070006991 A KR 20070006991A KR 1020060063916 A KR1020060063916 A KR 1020060063916A KR 20060063916 A KR20060063916 A KR 20060063916A KR 20070006991 A KR20070006991 A KR 20070006991A
Authority
KR
South Korea
Prior art keywords
gas
electrode
plasma
surface coating
fluorocarbon
Prior art date
Application number
KR1020060063916A
Other languages
English (en)
Other versions
KR100775789B1 (ko
Inventor
강방권
Original Assignee
강방권
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 강방권 filed Critical 강방권
Publication of KR20070006991A publication Critical patent/KR20070006991A/ko
Application granted granted Critical
Publication of KR100775789B1 publication Critical patent/KR100775789B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2201/00Polymeric substrate or laminate
    • B05D2201/04Laminate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2202/00Metallic substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon
    • B05D2203/35Glass

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

본 발명은 상압 플라즈마를 이용하여 탄화불소 또는 탄화수소를 대상물체의 표면에 코팅하는 방법에 관한 것으로, 보다상세하게는 대상물체의 표면이 소수성 또는 초소수성을 갖게 하기 위하여 상압하에서 플라즈마를 발생하여 탄화수소 또는 탄화불소를 대상물체의 표면에 코팅하는 방법에 관한 것이다.
본 발명에 따른 소수성 또는 초소수성을 가지도록 대상물체의 표면을 코팅 방법은 수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF 전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 제1 상압 글로우 플라즈마를 생성하는 단계와, 상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되어 대상물체의 표면에 탄화불소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함하는 것을 특징으로 한다.
상압 플라즈마, 소수성, 초소수성, 탄화불소, 탄화수소

Description

소수성 또는 초소수성 처리를 위하여 상압 플라즈마를 이용한 표면코팅방법{SURFACE COATING METHOD FOR HYDROPHOBIC AND SUPERHYDROPHOBIC TREATMENT IN ATMOSPHERIC PRREURE PLASMA}
도 1는 본 발명에 따른 상압 플라즈마 발생장치의 제1 실시예의 개략도,
도 2는 본 발명의 상압 플라즈마 발생장치에 있어서, 롤투롤(Roll to roll) 방식의 시편공급장치의 개념도,
도 3은 본 발명의 상압 플라즈마 발생장치에 있어서, 릴투릴(Reel to reel) 방식의 시편공급장치의 개념도,
도 4는 본 발명에 따른 상압 플르즈마 발생장치의 제2 실시예의 개략도,
도 5는 본 발명에 따른 대상물체를 분석한 FT-IR 데이터,
도 6은 본 발명에 따른 대상물체를 처리횟수에 따라 분석한 FT-IR 데이터,
도 7은 골드 필름위에 증착된 초소수성 코팅의 XPS 스펙트럼,
도 8은 CF4-H2-He가스로 처리된 골드 필름의 AFM 이미지,
도 9는 본 발명의 일실시예에 따른 초소수성 처리된 대상물체의 접촉각 이미지,
도 10은 본 발명의 다른 실시예에 따른 소수성 처리된 대상물체의 접촉각 이 미지,
도 11은 본 발명의 일실시예에 따른 초소수성 처리된 필름상에서 물방울의 낙하 이미지,
도 12는 본 발명의 다른 실시예에 따른 초소수성 코팅된 필름상의 물방울 이미지와 E-SEM 이미지,
도 13은 물과 물체 표면의 접촉각 예시도,
도 14는 식물 잎의 소수성과 포러스 구조도,
도 15는 탄소나노튜브를 이용한 소수성 처리 이미지.
<도면의 주요 부분에 대한 설명>
400: RF 전원 401: 매칭박스(matching box)
402: 제1전극 404: 유전체
406: 가스 유입구 413: 가스 혼합기
본 발명은 상압 플라즈마를 이용하여 탄화불소 또는 탄화수소를 대상물체의 표면에 코팅하는 방법에 관한 것으로, 보다상세하게는 대상물체의 표면이 소수성 또는 초소수성을 갖게 하기 위하여 상압하에서 플라즈마를 발생하여 탄화수소 또는 탄화불소를 대상물체의 표면에 코팅하는 방법에 관한 것이다.
소수성은 물과 물체와의 관계를 나타내는 것으로서 개념적으로는 물에 친화 력을 가지지 않는 화학적 성질을 의미한다.
도 13은 물과 물체 표면의 접촉각을 도시한 것이다. 소수성이 커질수록 접촉각은 커진다. 예를 들어, 접촉각이 180°가 되면 표면은 초소수성으로 처리가 되었다는 것을 의미하며, 이 경우 물은 물체의 표면상에서 완전한 구형으로 존재한다.
일반적으로 소수성을 가지는 물체는 자연에서 쉽게 관찰된다. 토란잎 또는 연꽃잎이 소수성을 가지는 대표적인 물체이며, Wenzel's와 Cassie's에 의하여 상기 잎의 표면에 존재하는 미세한 포러스(porous) 구조가 소수성의 원인이라는 것이 밝혀졌다. 도 14는 소수성을 가지는 식물 잎과 그 포러스 구조를 나타낸 것이다.
소수성 또는 초소수성을 가지도록 물체의 표면을 처리하는 방법에는 물리적방법 또는 화학적방법이 있다.
도 15는 물리적방법으로 물체의 표면구조를 변형시킨 예이다. 초소수성을 가지도록 하기 위하여 탄소나노튜브를 사용하여 평평한 물체의 표면에 포러스 구조를 형성하였다. 탄소나노튜브의 표면 위에 물방울을 떨어뜨리면 물방울은 거의 원형으로 존재한다.
화학적 방법은 물체의 표면에 불소코팅 등을 하는 것으로 후라이팬 등이 대표적인 예이다. 즉, 소수성 또는 초소수성의 성질을 가지도록 물체 표면의 화학적 조성을 변화시켜 준다. 특히, 탄화불소계열의 폴리머는 화학물질 중에서도 강한 소수성 경향을 보인다.
이러한 화학적 방법에 의하여 소수성 또는 초소수성을 가지도록 물체의 표면을 처리하는 기술은 US4,869,922호, US6,649,222호 및 US5,733,610호 등에 개시되 어 있다.
US4,869,922호에는 소수성을 가지도록 하기 위하여 진공플라즈마를 이용하여 폴리플루오로카본(poly-fluorocarbon)을 물체의 표면에 코팅하는 표면 처리방법이 개시되어 있다. 상기의 특허에서는 1torr의 압력에서 수소가스와 모노머(monomer) C-F계열 가스의 혼합가스를 방전공간 주입하였다. 또한, 27.12MHz의 RF(Radio Frequency) 전원을 40~80W로 5분 ~ 20분 동안 인가하여 20mm×20mm×1mm의 알루미늄 시료의 표면에 폴리플루오로카본으로 코팅하여 시료의 표면을 소수성으로 개질시켰다.
US6,649,222호에는 변조된 글로우 방전 플라즈마를 이용하여 시료의 표면을 초소수성으로 처리하는 방법이 개시되어 있다. 300~400mTorr의 압력에서 13.56MHz의 변조주파수의 전원을 50~75W로 20~90분 동안 인가하였으며, 모노머 C-F계열의 가스를 사용하여 2~20㎠의 넓이의 PE, PP, 실리콘, 유리 및 PET 등의 비금속시료의 표면을 처리하였다.
US5,733,610호에는 대기압에서 소수성을 갖도록 물체의 표면을 처리하는 방법이 개시되어 있다. 대기압에서 3000Hz의 주파수를 사용하여 유기 및 실리콘 웨이퍼 등의 시료의 표면을 처리하였다.
소수성 또는 초소수성을 가지도록 처리하기 위하여 진공 시스템을 이용하는 종래의 기술들은 닫힌 시스템에서만 이루어진다. 따라서 시료가 이동하면서 처리되는 연속 공정 또는 자동화 공정을 수행할 수 없으므로 대량 생산을 필요로하는 산 업분야에 적용할 수 없다는 문제점이 있었다.
또한, 진공 시스템을 구비하기 위하여 고가의 진공장비를 구입하여야 하고 이를 유지해야 하므로 비용이 많이 소요된다는 문제점이 있었다.
또한, 고온에서 물성이 변하는 폴리머의 특성상 낮은 온도에서 처리해야 하고, 수초 내로 처리가 이루어짐으로 인하여 미세한 처리를 위한 공정 조건의 조절이 어렵다는 문제점이 있었다.
또한, 대기압에서 소수성을 갖도록 물체의 표면을 처리하는 방법은 아크발생을 억제하기 위하여 배치 시스템(batch system)을 적용해야 하다는 문제점이 있었다.
본 발명은 상기와 같은 소수성 또는 초소수성을 가지도록 하기 위하여 물체의 표면을 처리하는 종래 방법의 문제점을 해소하기 위한 것이다. 본 발명은 진공시스템의 적용없이 상압하에서 소수성 또는 초소수성을 가지도록 물체의 표면을 처리할 수 있도록 방법을 제공하는 것을 목적으로 한다.
또한, 본 발명은 배치 시스템(batch system)의 적용없이 상압하에서 물체의 표면을 처리할 수 있는 방법을 제공하는 것을 목적으로 한다.
본 발명에 따른 소수성 또는 초소수성을 가지도록 대상물체의 표면을 코팅 방법은 수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF 전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 제1 상압 글로우 플라즈마를 생성하는 단계와, 상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되어 대상물체의 표면에 탄화불소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함하는 것을 특징으로 한다. 본 발명에 따르면, 상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택되고, 상기 반응가스에 포함된 탄화불소 가스와 수소 가스는 부피의 비(탄화불소/수소)가 0.1 내지 10의 범위인 것이 바람직하다. 또한, 상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상이며, 상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것이 바람직하다. 또한, 상기 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하며, 상기 대상물체는 상기 제1전극과 1mm 내지 10mm 범위 이내에서 근접되는 것이 바람직하다.
또한, 본 발명에 따른 표면코팅방법에 있어서, 상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 임의의 형상의 봉형상이고, 상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고, 상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단을 더 포함하고, 상기 통로는 상기 제1전극의 길이방향을 따라 형성된 버퍼공간과, 상기 제1전극의 길이방향을 따라 형성되며 일측이 상기 방전공간으로 개방된 혼합공간과, 상기 버퍼공간에서 상기 혼합공간의 내벽을 향하도록 연통된 오리피스를 포함하는 것이 가능하다. 이 경우 상기 플라즈마 발생장치는, 상기 제1전극에 연결된 커패시터를 더 포함할 수 있다.
또한, 본 발명에 따른 표면코팅방법은 상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 임의의 형상의 봉형상이고, 상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고, 상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단과, 상기 제1전극에 연결된 커패시터를 더 포함하는 플라즈마 발생장치를 사용할 수 있다.
본 발명의 다른 측면 따른 소수성 또는 초소수성을 가지도록 대상물체의 표면을 코팅 방법은 탄화수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF 전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 제1 상압 글로우 플라즈마를 생성하는 단계와, 상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되어 대상물체의 표면에 탄화불소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함한다. 이 경우 상기 탄화수소 가스는 C2H2, CH4, C2H4, C2H6, C3H8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하며, 상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하다. 또한, 상기 반응가스에 포함된 탄화불소 가스와 탄화수소 가스는 부피의 비(탄화불소/탄화수소)가 0.1 내지 10의 범위인 것이 바람직하며, 상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상인 것이 바람직하다. 또한, 상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것이 바람직하다.
또한, 상기 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용할 수 있으며, 상기 대상물체는 상기 제1전극과 1mm 내지 10mm 범위 이내에서 근접되는 것이 바람직하다.
본 발명의 또 다른 측면에 따른 소수성 또는 초소수성을 가지도록 대상물체의 표면을 코팅 방법은 수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치에 공급하여 상압 글로우 플라즈마를 생성하는 단계와, 대상물체의 표면에 탄화불소 코팅층이 형성되도록 상기 생성된 상압 글로우 플라즈마에 대상물체의 표면을 노출시키는 단계를 포함한다. 이 경우 상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하며, 상기 반응가스에 포함된 탄화불소 가스와 수소 가스는 부피의 비(탄화불소/수소)가 0.1 내지 10의 범위인 것이 바람직하다. 또한, 상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상인 것이 바람직하며, 상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것이 바람직하다. 또한, 상기 글로우 플라즈마 생성 단계에 있어서, 상압 플라즈마 발생 장치는 100KHz 내지 60MHz 범위의 주파수의 RF 전원을 사용하는 것이 바람직하다.
본 발명의 또 다른 측면에 따른 소수성 또는 초소수성을 가지도록 대상물체의 표면을 코팅 방법은 탄화수소 가스와 탄화불소 가스와 비활성가스를 포함하는 반응가스를 상압 플라즈마 발생장치에 공급하여 상압 글로우 플라즈마를 생성하는 단계와, 대상물체의 표면에 탄화불소 코팅층이 형성되도록 상기 생성된 상압 글로우 플라즈마에 대상물체의 표면을 노출시키는 단계를 포함한다. 이 경우 상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하며, 상기 탄화수소 가스는 C2H2, CH4, C2H4, C2H6, C3H8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하다. 또한, 상기 반응가스에 포함된 탄화불소 가스와 탄화수소 가스는 부피의 비(탄화불소/탄화수소)가 0.1 내지 10의 범위인 것이 바람직하며, 상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상이고, 상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것이 바람직하다. 또한, 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하는 것이 바람직하다.
본 발명의 또 다른 측면에 따른 소수성 가지도록 대상물체의 표면을 코팅 방법은 탄화수소 가스 및 비활성가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 상압 글로우 플라즈마를 생성하는 단계와, 상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되 어 대상물체의 표면에 탄화수소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함한다. 이 경우 상기 탄화수소는 C2H2, CH4, C2H4, C2H6, C3H8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하며, 상기 반응가스는 비활성가스의 부피가 90%이상인 것이 바람직하다. 또한, 상기 비활성가스는 부피 60%이상이 헬륨, 아르곤 및 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하다.
또한, 본 발명에 따른 표면코팅방법은 상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 임의의 형상의 봉형상이고, 상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고, 상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단을 더 포함하고, 상기 통로는 상기 제1전극의 길이방향을 따라 형성된 버퍼공간과, 상기 제1전극의 길이방향을 따라 형성되며 일측이 상기 방전공간으로 개방된 혼합공간과, 상기 버퍼공간에서 상기 혼합공간의 내벽을 향하도록 연통된 오리피스를 포함하는 것 플라즈마 발생장치를 사용할 수 있다. 또한, 상기 플라즈마 발생장치는 상기 제1전극에 연결된 커패시터를 더 포함할 수 있다.
또한, 본 발명에 따른 표면코팅방법은 상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 봉형상이고, 상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고, 상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단과 상기 제1 전극에 연결된 커패시터을 더 포함하는 플라즈마 발생장치를 사용할 수 있다. 또한, 상기 플라즈마 발생장치는 상기 제1전극에 연결된 커패시터를 더 포함하는 것을 특징으로 탄화수소 표면 코팅 방법.
이하에서는 본 발명에 따른 소수성 또는 초소수성을 가지도록 물체의 표면을 코팅하는 방법의 바람직한 실시예를 첨부된 도면들에 의거하여 상세하게 설명한다.
도 1은 본 발명에 따라 대상물체의 표면을 소수성 또는 초소수성으로 처리하기 위한 상압 플라즈마 발생장치의 구성도이다.
상압 플라즈마 발생장치는 RF(Radio frequency) 전원(400)과, 플라즈마 모듈(405)과, 매칭박스(matching box:401)와, 시편공급수단(422)과, 가스공급수단을 포함한다.
RF 전원(400)은 반응가스를 이온화시켜 플라즈마 상태로 만들기 위하여 필요한 전기에너지를 공급하며, 메칭박스(401)는 상기의 RF 전원(400)에서 공급된 전기에너지를 안정적인 주파수대의 전기에너지로 플라즈마 모듈(405)에 공급한다.
가스공급수단은 가스공급관(410, 411, 412)과, 가스혼합기(413)를 구비하고 있다. 가스공급관(410, 411, 412)은 플라즈마를 발생하고 소수성 또는 초소수성 가지도록 대상물체의 표면을 처리하기 위하여 필요한 가스를 공급하며, 다수 개의 관으로 구성될 수 있다. 가스혼합기(413)는 가스공급관(410, 411, 412)에서 유입된 가스를 혼합하여 반응가스를 만들며, 상기의 반응가스를 플라즈마 모듈(405)에 공급한다.
플라즈마 모듈(405)은 제1전극(402)과, 제2전극(403)과, 유전체(404)와, 가 스공급통로(407)와, 방전공간(406)을 구비한다.
제1전극(402)은 RF 전원(400)으로부터 전기에너지를 공급받기 위하여 메칭박스(401)와 연결되어 있으며, 유전체(404)로 덮여있다. 제2전극(403)은 접지와 연결되어 있으며, 방전공간(406)을 형성하기 위하여 제1전극(402)과 일정거리 이격되어 있다. 가스공급통로(407)는 가스혼합기(413)에서 공급된 반응가스를 수용하며, 방전공간(406)에 반응가스를 공급한다. 방전공간(406)에 반응가스가 유입된 후 제1전극(402)에 전기에너지가 공급되면, 제1전극(402)과 제2전극(403) 사이의 전압에 의하여 방전공간(406)에서 반응가스는 글로우 방전을 하여 순간적으로 플라즈마 상태로 만들어진다.
시편공급수단(421)은 표면처리 할 대상물체(420)를 제1전극(402)의 하부에 공급한다. 방전공간(406)에서 발생된 플라즈마 상태의 반응가스는 유동하여 제1전극(402)의 하부로 흘러나간다. 이때 대상물체(420)를 방전공간(406)의 출구 측의 제1전극(402) 하부에 위치시키면, 대상물체(420)의 표면 위로 플라즈마 전이가 발생한다.
대상물체(420)의 공급방식에는 스캔(scan), 롤투롤(Roll to roll) 및 릴투릴(Reel to reel) 등의 방법이 있다.
도 2는 롤투롤(Roll to roll) 방법에 의하여 대상물체(502)를 제1전극(500)의 하부에 공급하는 공정도이다. 대상물체(502)는 롤러(503)를 타고 이동하며, 제1전극(500)과 접지극(504)사이에 전이된 플라즈마(501)에 직접 노출됨으로써 소수성 또는 초소수성 처리가 된다. 이때 전극과 대상물체 사이의 거리는 1mm~10mm 정도이 다. 접지극(504)은 롤러의 높이보다 약간의 단차를 갖고 낮게 설치됨으로써 대상물체와 접지극(504)사이에 갭(Gap:505)을 형성하게 되는데, 이는 대상물체 밑면이 접지극(504)에 닿지 않도록 하여, 대상물체가 원할하게 이송되도록 한다.
도 3은 릴투릴(Reel to reel) 방법에 의하여 대상물체(602)를 제1전극(600)의 하부에 공급하는 공정도이다. 대상물체(602)는 롤러(603)를 타고 이동하며, 제1전극(600)과 접지극(604)사이에 전이된 플라즈마(601)에 직접 노출 됨으로써 소수성 또는 초소수성 처리가 된다.
RF 전원은 100KHz~60MHz의 주파수를 갖고 있으며, 매칭박스(401)에 의하여 안정적인 주파수대의 RF 전원이 전극에 인가된다. 제1전극(402)은 유전체(404)로 덮여 있어 아크 발생이 없고, 대상물체의 손상을 막을 수 있다.
대상물체의 표면을 소수성 또는 초소수성 처리를 위하여 사용되는 반응가스는 비활성가스와 작업가스로 구성되어 있다. 비활성가스는 헬륨이 바람직하며 그외 아르곤 또는 네온등을 추가하여 사용할 수 있다. 비활성가스는 반응가스의 전체부피 중 90%이상인 것이 바람직하며, 더 바람직하게는 95.00% 내지 99.99%이어야 한다. 또한, 비활성가스는 부피의 60%이상이 헬륨인 것이 바람직하다.
소수성 또는 접촉각 150°이상인 초소수성을 갖도록 대상물체 표면을 처리하기 위하여 탄화수소가스와 탄화불소가스를 작업가스로 사용한다. 탄화수소가스는 C2H2, CH4, C2H4, C2H6 , C3H8 또는 이들의 혼합물로 이루어진 군으로부터 선택된 것이 바람직하며, 탄화불소가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으 로부터 선택된 것이 바람직하다. 또한, 작업가스는 탄화수소가스 대신 수소가스나 SiH4가스가 사용될 수 있으며, 탄화불소가스 대신 SF6가스가 사용될 수 있다.
또한, 탄화불소가스의 부피는 수소가스 또는 탄화수소가스 부피의 0.1배 내지 10배 범위로 조절하는 것이 바람직하다. 대상물체의 표면이 플라즈마 상태의 반응가스에 노출되면, 탄화불소가 폴리플루오르카본(poly-flurorocarbon)의 형태로 대상물체의 표면에 코팅되어 대상물체는 소수성 또는 초소수성의 성질을 가지게 된다. 이때 탄화 수소 계열의 가스는 코팅을 위한 분위기를 조성하게 된다.
소수성을 갖도록 대상물체 표면을 처리하기 위한 다른 작업가스는 탄화수소가스를 사용한다. 대상물체의 표면이 플라즈마 상태의 반응가스에 노출되면 탄화수소가 대상물체의 표면에 코팅되어 대상물체는 소수성의 성질을 가지게 된다.
플라즈마(430)가 방응공간(406)에서 형성되면 대상물체(420)는 시편공급수단(422)에 의하여 제1전극(402)의 하부로 운반되며, 플라즈마는 전이되어 대상물체(420)에 직접 노출된다. 반응가스의 종류에 따라서 대상물체(420)는 소수성 또는 초소수성 처리된다. 대상물체(420)는 시편공급수단(422)에 의하여 정지 상태에서 처리될 수 있으며, 반복작업으로 처리될 수도 있다. 일반적으로 대상물체가 플라즈마의 발생면적보다 작을 경우 대상물체를 정지시켜 플라즈마 처리를 하거나 반복처리를 할 수 있다.
도 4는 플라즈마 발생장치의 다른 실시예이다. 본 실시예의 상압 플라즈마 발생장치는 매칭박스(41)를 통하여 고주파 전력을 공급하기 위한 고주파전원(40)에 제1 전극 조립체(30)가 전기적으로 연결되어 있고, 제1 전극 조립체(30)에서 일정거리 이격되어 방전공간(15a)을 형성하도록 제2 전극(15)이 배치되어 있다. 제1 전극 조립체(30)와 제2 전극(15) 사이의 방전공간(15a)으로 반응가스를 공급하기 위한 입구(11)가 형성된 가스공급수단(10)은 제1 전극 조립체(30) 및 제2 전극(15a)을 고정하여 지지하도록 되어 있다. 대상물체(M)는 방전공간(15a)을 통과한 반응가스의 흐름의 하류 측에 제1 전극 조립체(30)와 일정거리 이격되어 배치되어 있다. 가스공급수단(10)의 입구(11)는 제1 전극 조립체(30)의 길이방향으로 따라서 연통되도록 형성된 버퍼공간(12)과 통하도록 되어 있다. 또한, 가스공급수단(10)에는 버퍼공간(12)에서 일정거리 이격되어 제1전극 조립체(30)의 길이방향을 따라서 형성되고 방전공간(15a)과 연결된 혼합공간(13a)이 형성되어 있다. 또한, 가스공급수단(10)에는 버퍼공간(12)에서 혼합공간(13a)의 내벽을 향하도록 복수의 오리피스(13)가 형성되어 있다. 또한, 제1전극 조립체(30) 길이가 긴 부재로 일측면에는 전원이 연결되어 있고(그림에서 점선으로 표시된 측면) 반대측면에는 커패시터가 전기적으로 연결되어 접지되어 있다. 또한, 제1전극 조립체(30)는 도전체(32)와 도전체(32)의 외주면을 감싸는 실리콘 수지(31)를 포함한다.
가스공급수단(10)의 입구(11)로 반응가스를 공급하면서 제1전극 조립체(30)에 RF 전원을 인가하면, 먼저 방전공간(15a)에서 제1 플라즈마(P1)가 생성된다. 제1전극 조립체와 제2전극 사이의 간격이 제1전극 조립체와 피처리물체(M)의 표면 사이의 간격보다 작기 때문에, 방전공간(15a)에서 생성된 플라즈마(P1)가 대상물체(M)와 제1전극 조립체 사이의 공간으로 쉽게 전이되어 안정된 표면처리를 위한 플라즈마(P2)를 생성할 수 있게 된다. 특히, 제1전극 조립체의 도전체 측단부에 전기적으로 연결된 커패시터(C1)가 접지되어 있어서, 제1전극 조립체의 길이방향으로 전압이 불균일하게 분포하는 것을 방지하여 안정된 플라즈마(P1, P2)를 생성시킬 수 있다. 상기 커패시터(C1)는 충방전을 통하여 상기 제1전극 조립체에 균일하게 전압이 분포되도록 하며, 상기 전원이 고주파 이므로 접지가 되지 않아도 충방전이 가능하나 바람직하게는 접지되도록 한다. 또한, 입구(11)를 통하여 공급된 반응가스는 1차로 제1전극 조립체(30)의 길이방향을 따라서 형성된 버퍼공간(12)에서 길이방향으로 균일한 압력이 된다. 균일한 압력의 버퍼공간(12)으로부터 복수의 오리피스(13)를 통과하여 공급되는 반응가스는 혼합공간(13)의 내측벽에 충돌한 후에 혼합공간에서 혼합되어 제1전극 조립체(30)의 길이방향을 따라서 2차로 균일한 압력분포를 갖게 된다. 균일한 압력분포를 갖는 혼합공간(13)의 반응가스는 방전공간(15a)으로 공급되어 제1전극 조립체(30)의 길이방향을 따라서 안정된 플라즈마(P1, P2)를 생성하게 된다.
본 실시예의 플라즈마 발생장치는 방전공간에서 플라즈마를 발생시킨 후 플라즈마를 대상물체의 표면 위에 전이 시켜 폴리플루오르카본 또는 탄화수소를 코팅시켰다. 그러나 대상물체를 직접 플라즈마가 발생된 방전공간에 이동시켜 표면처리를 할 수 있다.
대상물체는 액체와 기체를 제외한 금속, 유리, 실리콘 웨이퍼, 산화물, 질화물, 세라믹, 폴리머, 고무, 실리콘, 섬유 중 적어도 어느 하나이거나 이들의 복합재질이면 어떤 것도 가능하다.
이하 본 발명의 실시예들을 구체적으로 설명한다.
실시예 1
목적 : 초소수성 비활성가스 : He(10ℓ/min.)
주파수 : 13.56MHz 반응가스 : CF4(15 sccm), H2 (5 sccm)
Power : 250W 처리횟수 : 15회
대상물체 : Cu sheet 처리속도 : 10mm/sec.
처리후 접촉각 : 171°
실시예 2
목적 : 초소수성 비활성가스 : He(10ℓ/min.)
주파수 : 13.56MHz 반응가스 : CF4(15 sccm), CH4 (5 sccm)
Power : 250W 처리횟수 : 15회
대상물체 : Aluminum sheet 처리속도 : 10mm/sec.
처리후 접촉각 : 168°
실시예 3
목적 : 초소수성 비활성가스 : He(10ℓ/min.), Ar(1ℓ/min.)
주파수 : 13.56MHz 반응가스 : CF4(10 sccm), H2 (5 sccm)
Power : 250W 처리횟수 : 10회
대상물체 : glass 처리속도 : 10mm/sec.
처리후 접촉각 : 157°
실시예 4
목적 : 초소수성 비활성가스 : He(10ℓ/min.)
주파수 : 13.56MHz 반응가스 : CF4(10 sccm), H2 (5 sccm)
Power : 250W 처리횟수 : 20회
대상물체 : polyimide film 처리속도 : 10mm/sec.
처리후 접촉각 : 175°
실시예 5
목적 : 소수성 비활성가스 : He(10ℓ/min.)
주파수 : 13.56MHz 반응가스 : CH4(10 sccm)
Power : 200W 처리횟수 : 10회
대상물체 : Cu sheet 처리속도 : 10mm/sec.
처리후 접촉각 : 112°
실시예 6
목적 : 소수성 비활성가스 : He(10ℓ/min.)
주파수 : 13.56MHz 반응가스 : CH4(10 sccm)
Power : 200W 처리횟수 : 10회
대상물체 : glass 처리속도 : 10mm/sec
처리후 접촉각 : 115°
실시예1 내지 실시예6에서 소수성 또는 초소수성을 갖도록 대상물체의 표면처리를 위하여 비활성가스로 헬륨을 사용하였다. 대상물체의 종류에는 금속, 폴리머 계열의 필름 및 유리를 사용하였지만, 상압에서 처리가 이루어지므로 진공 공정에서 문제가 되는 대상물체의 아웃개싱(out-gasing)을 고려할 필요가 없다. 따라서, 실시예에서 개시된 대상물체 이외에도 모든 재질의 대상물체에 적용이 가능하다.
또한, 이외에도 플라즈마는 쉬스(sheath)영역을 갖고 있음으로써, 평판, 침,벌크, 면, 입자 등 다양한 형태의 대상물체의 처리가 가능하다.
도 5는 본 발명에 따른 대상물체의 FT-IR 데이터이다. 특정 파장대에서의 피크는 해당 원소들 간의 결합 형태 및 에너지를 의미하는 것으로 분석한 결과 대상물체에 CF2-, CF3-, CF- 계열의 플르오르카본(fluorocarbon)이 코팅처리된 것을 확인할 수 있다.
도 6은 본 발명의 플라즈마 처리횟수에 따른 FT-IR 데이터로서, 처리회수가 거듭할수록 C-F계열의 신호 세기와 C-C계열의 신호 세기가 증가하고 있다.
도 7은 골드 필름위에 증착된 초소수성 코팅의 XPS 스펙트럼이다. 측정 스펙트럼은 3회 처리시 코팅이고, C1s와 F1s에 대한 고해상도 스펙트럼은 3회 및 9회처리에 대한 것이다. 고해상도의 스펙트럼을 다수의 피크를 가진 스펙트럼으로 분해한 결과 다양한 결합에너지를 갖는 탄소 및 플루오르 계열의 원소가 검출됨을 알 수 있다. 삽입된 숫자는 플라즈마 처리횟수를 나타낸 것이다. C1s 와 F1s 스펙트럼은 285.0 eV에서 C-C를 위치시키기 위하여 필요한 양 만큼 보정한다.
도 8은 CF4-H2-He가스로 처리된 골드 필름의 처리횟수에 따른 AFM 이미지이다. 각각 (a) 무처리, (b) 3회, (c)7회 및 (d) 11회 처리되었다. 각 이미지의 전체 대조 크기는 (a) 14.4nm, (b) 44.6nm, (c)64.0nm 및 (d) 79.6nm 이다.
이에 의하면 처리횟수가 반복됨에 따라 표면 거칠기가 증가하며 11회 처리시 나노이하의 표면 거칠기를 갖는다는 것을 알 수 있는데, 일반적으로 진공 공정상에서 처리된 대상물체의 초소수성은 대상물체 표면의 마이크로 이상 되어야 한다는 것을 감안하면 본 발명은 상당히 낮은 표면 거칠기에서도 초소수성을 나타내고 있음을 알 수 있다.
평균적으로 10mm/sec.의 처리속도로 진행되는 동안 1회 처리시 약 45Å의 폴리 Fluorocarbon이 코팅 되었음을 확인할 수 있다.
도 9는 본 발명의 실시예에 따른 초소수성 처리된 (a)구리, (b) 실리콘 웨이퍼, (c) 알루미늄 및 (d) 슬라이드 글라스 대상물체의 접촉각 이미지를 나타낸 것 이다. 처리속도 및 처리횟수는 각각 10mm/sec, 10회 처리후 이미지이다.
도 10은 본 발명의 실시예에 따른 소수성 처리된 (a) 구리, (b) 실리콘 웨이퍼, (c) 코튼(cotton), (d) 종이 및 (e) 슬라이드 글라스 대상물체의 접촉각 이미지를 나타낸 것이다. 처리속도 및 처리횟수는 각각 10mm/sec, 10회 처리후 이미지이다.
도 11은 본 발명의 실시예에 따른 초소수성 코팅된 gold 필름(상)과, 코튼(cotton) fabric(하)에서의 물방울이 낙하하는 연속 이미지이다. 처음 6개의 연속이미지는 2ms 단위이며, 나머지 두 연속이미지는 6ms 단위이다. 초소수성 코팅된 대상물체에서 물방울은 떨어지고 난 후 대상물체에 붙지 않고 튕겨 올라간다.
도 12는 본 발명의 실시예에 따른 초소수성 코팅된 골드 필름위의 물방울 사진(a)과 gold film에 증착된 초소수성 코팅막에서의 응축 물방울의 E-SEM 이미지(b,c)이다. 증기압은 5.54 Torr, 대상물체의 온도는 3.0℃, 스케일바(scale bar)는 각각 100mm(b), 5mm(c)이다.
본 발명은 상압 글로우 방전 플라즈마를 이용하여 대상물체 표면을 소수성 또는 초소수성 처리를 하는 것으로 처리속도 및 처리회수 조절을 통해 용이하게 대상물체 표면을 개질할 수 있다.
그리고, 대상물체의 종류에 따라 스캔방식, 롤투롤 및 릴투릴의 운반 시스템을 선택하여 사용함으로써 폴리플루오르카본(poly-fluorocarbon) 증착속도를 조절할 수 있고, 연속 공정을 이용하면 대면적의 대상물체에도 적용할 수 있어 대상물 체의 크기에 제약이 없다는 장점이 있다.
또한, 열린 공간에서 이루어지는 상압 플라즈마의 처리는 진공플라즈마에 비해 처리 속도가 빨라 시간 및 비용을 절감하여 제품 수율을 향상시킬 수 있다.
앞에서 설명되고, 도면에 도시된 본 발명의 일 실시예는 본 발명의 기술적 사상을 한정하는 것으로 해석되어서는 안 된다. 본 발명의 보호범위는 청구범위에 기재된 사항에 의하여만 제한되고, 본 발명의 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상을 다양한 형태로 개량 변경하는 것이 가능하다. 따라서 이러한 개량 및 변경은 통상의 지식을 가진 자에게 자명한 것인 한 본 발명의 보호범위에 속하게 될 것이다.

Claims (40)

  1. 수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF 전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 제1 상압 글로우 플라즈마를 생성하는 단계와,
    상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되어 대상물체의 표면에 탄화불소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  2. 제1항에 있어서,
    상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 반응가스에 포함된 탄화불소 가스와 수소 가스는 부피의 비(탄화불소/수소)가 0.1 내지 10의 범위인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  4. 제3항에 있어서,
    상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  5. 제4항에 있어서,
    상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  6. 제1항에 있어서,
    상기 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  7. 제6항에 있어서,
    상기 대상물체는 상기 제1전극과 1mm 내지 10mm 범위 이내에서 근접되는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  8. 제5항에 있어서,
    상기 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하며, 상기 대상물체는 상기 제1전극과 1mm 내지 10mm 범위 이내에서 근접되는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  9. 제1항에 있어서,
    상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 임의의 형상의 봉형상이고,
    상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고,
    상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단을 더 포함하고,
    상기 통로는 상기 제1전극의 길이방향을 따라 형성된 버퍼공간과, 상기 제1전극의 길이방향을 따라 형성되며 일측이 상기 방전공간으로 개방된 혼합공간과, 상기 버퍼공간에서 상기 혼합공간의 내벽을 향하도록 연통된 오리피스를 포함하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  10. 제1항에 있어서,
    상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 임의의 형상의 봉형상이고,
    상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고,
    상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단과, 상기 제1전극에 연결된 커패시터를 더 포함하는 것을 특징으로 탄화불소 표 면 코팅 방법.
  11. 제9항에 있어서,
    상기 플라즈마 발생장치는 상기 제1전극에 연결된 커패시터를 더 포함하는 것을 특징으로 탄화불소 표면 코팅 방법.
  12. 탄화수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF 전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 제1 상압 글로우 플라즈마를 생성하는 단계와,
    상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되어 대상물체의 표면에 탄화불소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  13. 제12항에 있어서,
    상기 탄화수소 가스는 C2H2, CH4, C2H4, C2H6, C3H8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  14. 제13항에 있어서,
    상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  15. 제12항 내지 제14항 중 어느 한 항에 있어서,
    상기 반응가스에 포함된 탄화불소 가스와 탄화수소 가스는 부피의 비(탄화불소/탄화수소)가 0.1 내지 10의 범위인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  16. 제15항에 있어서,
    상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  17. 제16항에 있어서,
    상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  18. 제12항에 있어서,
    상기 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  19. 제18항에 있어서,
    상기 대상물체는 상기 제1전극과 1mm 내지 10mm 범위 이내에서 근접되는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  20. 제17항에 있어서,
    상기 플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하며, 상기 대상물체는 상기 제1전극과 1mm 내지 10mm 범위 이내에서 근접되는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  21. 수소 가스와 탄화불소 가스와 비활성 가스를 포함하는 반응가스를 상압 플라즈마 발생장치에 공급하여 상압 글로우 플라즈마를 생성하는 단계와,
    대상물체의 표면에 탄화불소 코팅층이 형성되도록 상기 생성된 상압 글로우 플라즈마에 대상물체의 표면을 노출시키는 단계를 포함하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  22. 제21항에 있어서,
    상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군 으로부터 선택된 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  23. 제21항 또는 제22항에 있어서,
    상기 반응가스에 포함된 탄화불소 가스와 수소 가스는 부피의 비(탄화불소/수소)가 0.1 내지 10의 범위인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  24. 제23항에 있어서,
    상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  25. 제24항에 있어서,
    상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  26. 제21항에 있어서,
    상기 글로우 플라즈마 생성 단계에 있어서, 상압 플라즈마 발생 장치는 100KHz 내지 60MHz 범위의 주파수의 RF 전원을 사용하는 것을 특징으로 탄화불소 표면 코팅 방법.
  27. 탄화수소 가스와 탄화불소 가스와 비활성가스를 포함하는 반응가스를 상압 플라즈마 발생장치에 공급하여 상압 글로우 플라즈마를 생성하는 단계와,
    대상물체의 표면에 탄화불소 코팅층이 형성되도록 상기 생성된 상압 글로우 플라즈마에 대상물체의 표면을 노출시키는 단계를 포함하는 것을 특징으로 탄화불소 표면 코팅 방법.
  28. 제27항에 있어서,
    상기 탄화불소 가스는 CF3, CF4, C2F6, C4F8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  29. 제28항에 있어서,
    상기 탄화수소 가스는 C2H2, CH4, C2H4, C2H6, C3H8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  30. 제27항 내지 제29항 중 어느 한 항에 있어서,
    상기 반응가스에 포함된 탄화불소 가스와 탄화수소 가스는 부피의 비(탄화불소/탄화수소)가 0.1 내지 10의 범위인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  31. 제30항에 있어서,
    상기 반응가스에 포함된 비활성가스의 부피는 전체 반응가스 부피의 90% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  32. 제31항에 있어서,
    상기 비활성가스는 헬륨가스를 포함하고, 헬륨가스의 부피는 전체 비활성가스 부피의 60% 이상인 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  33. 제27항에 있어서,
    플라즈마를 발생시키기 위하여 100KHz 내지 60MHz 주파수의 RF전원을 사용하는 것을 특징으로 하는 탄화불소 표면 코팅 방법.
  34. 탄화수소 가스 및 비활성가스를 포함하는 반응가스를 상압 플라즈마 발생장치의 RF전원이 연결된 제1전극과 제2전극 사이에 형성된 방전공간에 공급하여 상압 글로우 플라즈마를 생성하는 단계와,
    상기 제1전극과 대상물체 사이의 공간에서 상기 방전공간에서 형성된 플라즈마가 전이되어 제2 상압 글로우 플라즈마가 생성되어 대상물체의 표면에 탄화수소 코팅층이 형성되도록, 상기 방전공간을 통과한 반응가스의 흐름의 하류에서 상기 제1전극에 대상물체를 근접시키는 단계를 포함하는 것을 특징으로 하는 탄화수소 표면 코팅 방법.
  35. 제34항에 있어서,
    상기 탄화수소는 C2H2, CH4, C2H4, C2H6, C3H8 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화수소 표면 코팅 방법.
  36. 제35항에 있어서,
    상기 반응가스는 비활성가스의 부피가 90%이상인 것을 특징으로 하는 탄화수소 표면 코팅 방법.
  37. 제36항에 있어서,
    상기 비활성가스는 부피 60%이상이 헬륨, 아르곤 및 이들의 혼합물로 이루어진 군으로부터 선택된 것을 특징으로 하는 탄화수소 표면 코팅 방법.
  38. 제34항 내지 제37항 중 어느 한 항에 있어서,
    상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 임의의 형상의 봉형상이고,
    상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고,
    상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단을 더 포함하고,
    상기 통로는 상기 제1전극의 길이방향을 따라 형성된 버퍼공간과, 상기 제1전극의 길이방향을 따라 형성되며 일측이 상기 방전공간으로 개방된 혼합공간과, 상기 버퍼공간에서 상기 혼합공간의 내벽을 향하도록 연통된 오리피스를 포함하는 것을 특징으로 하는 탄화수소 표면 코팅 방법.
  39. 제34항 내지 제37항 중 어느 한 항에 있어서,
    상기 플라즈마 발생장치의 제1 전극은 일정한 길이를 갖는 봉형상이고,
    상기 제2 전극은 상기 방전공간을 형성하기 위하여 상기 제1전극의 길이방향을 따라서 일정거리 이격되어 배치되어 있고,
    상기 반응가스를 상기 방전공간에 공급하기 위한 통로가 형성된 가스공급수단과 상기 제1전극에 연결된 커패시터을 더 포함하는 것을 특징으로 탄화수소 표면 코팅 방법.
  40. 제38항에 있어서,
    상기 플라즈마 발생장치는 상기 제1전극에 연결된 커패시터를 더 포함하는 것을 특징으로 탄화수소 표면 코팅 방법.
KR1020060063916A 2005-07-09 2006-07-07 소수성 또는 초소수성 처리를 위하여 상압 플라즈마를이용한 표면코팅방법 KR100775789B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20050061970 2005-07-09
KR1020050061970 2005-07-09

Publications (2)

Publication Number Publication Date
KR20070006991A true KR20070006991A (ko) 2007-01-12
KR100775789B1 KR100775789B1 (ko) 2007-11-13

Family

ID=37637328

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060063916A KR100775789B1 (ko) 2005-07-09 2006-07-07 소수성 또는 초소수성 처리를 위하여 상압 플라즈마를이용한 표면코팅방법

Country Status (4)

Country Link
US (1) US8771806B2 (ko)
KR (1) KR100775789B1 (ko)
CN (1) CN101222983B (ko)
WO (1) WO2007007995A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010073153A3 (en) * 2008-12-24 2010-09-30 Kimberly-Clark Worldwide, Inc. High repellency materials via nanotopography and post treatment
KR101047034B1 (ko) * 2008-04-14 2011-07-06 (주)에이디에스 박막 패턴 형성 방법
US8048743B2 (en) 2009-06-12 2011-11-01 Hynix Semiconductor Inc. Method for fabricating vertical channel type nonvolatile memory device
KR101639378B1 (ko) * 2015-06-19 2016-07-13 성균관대학교산학협력단 박테리아 방지용 소수성 박막 및 이의 제조 방법
KR20200052062A (ko) 2018-11-06 2020-05-14 우석대학교 산학협력단 순환유동층 반응기를 이용한 hdpe 분말의 cf4 플라즈마 표면개질

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0710475D0 (en) * 2007-06-01 2007-07-11 Innovatek Medical Ltd Elastomeric sales
GB0710490D0 (en) * 2007-06-01 2007-07-11 Innovatek Medical Ltd Medicament dispenser device
FR2923494B1 (fr) * 2007-11-09 2010-01-15 Hutchinson Membranes imper-respirantes et leur procede de fabrication
TWI381078B (zh) * 2008-10-09 2013-01-01 Atomic Energy Council 高分子材料之大氣電漿撥水與撥油改質結構及其改質方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102460635B (zh) 2009-05-06 2014-12-24 3M创新有限公司 对容器进行等离子体处理的装置和方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
ES2613885T3 (es) 2009-11-04 2017-05-26 Ssw Holding Company, Inc. Superficies de aparatos de cocción que tienen un patrón de confinamiento de salpicaduras y procedimientos de fabricación de las mismas
CN102070788B (zh) * 2010-11-17 2013-09-11 无锡中科光远生物材料有限公司 一种仿生制备水凝胶的方法
KR101085181B1 (ko) * 2010-12-21 2011-11-18 한국기계연구원 플라즈마 표면 처리장치 및 그 처리방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9117657B2 (en) * 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101620786B1 (ko) * 2015-06-08 2016-05-12 아주대학교산학협력단 초소수성 표면 형성 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
GB201515271D0 (en) 2015-08-27 2015-10-14 Surrey Nanosystems Ltd Ultra low reflectivity coating and method therefor
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105761857A (zh) * 2016-02-24 2016-07-13 西安交通大学 一种cf4等离子体氟化绝缘子的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN106319588A (zh) * 2016-10-31 2017-01-11 常州瑞丰特科技有限公司 基于电化学沉积的金属材料表面超疏水薄膜制备方法
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN107058981B (zh) * 2017-01-23 2018-09-21 江苏菲沃泰纳米科技有限公司 一种低粘附、耐蚀涂层的制备方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
GB201717996D0 (en) * 2017-10-31 2017-12-13 Portal Medical Ltd Medicament dispenser device
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
TWI699441B (zh) * 2019-06-21 2020-07-21 逢甲大學 大氣常壓低溫電漿鍍製抗刮疏水層的方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
CN110739109A (zh) * 2019-10-10 2020-01-31 华北电力大学 提高c4f7n气体中绝缘子表面电气强度的系统和方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
WO2021080032A1 (ko) * 2019-10-23 2021-04-29 한남대학교 산학협력단 초소수성 표면 코팅방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4869922A (en) 1986-01-24 1989-09-26 Ausimont S.P.A. Method of coating with polyfluorocarbons
EP0346055B1 (en) 1988-06-06 1995-04-19 Research Development Corporation Of Japan Method for causing plasma reaction under atmospheric pressure
JP3393444B2 (ja) * 1993-04-05 2003-04-07 株式会社ダイオー 大気圧グロ−放電プラズマ処理法
JP3720408B2 (ja) * 1994-03-18 2005-11-30 サムコ株式会社 ハロゲン化炭化水素ガス処理方法及び装置
JPH09208726A (ja) * 1996-02-02 1997-08-12 Sekisui Chem Co Ltd プラズマを利用した基材の表面処理方法
US6649222B1 (en) 1998-09-07 2003-11-18 The Procter & Gamble Company Modulated plasma glow discharge treatments for making superhydrophobic substrates
US6652069B2 (en) 2000-11-22 2003-11-25 Konica Corporation Method of surface treatment, device of surface treatment, and head for use in ink jet printer
US7887889B2 (en) * 2001-12-14 2011-02-15 3M Innovative Properties Company Plasma fluorination treatment of porous materials
KR20040095104A (ko) * 2003-05-06 2004-11-12 사단법인 고등기술연구원 연구조합 경표면 소재 도금 전처리용 상압 플라즈마 표면처리장치및 방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101047034B1 (ko) * 2008-04-14 2011-07-06 (주)에이디에스 박막 패턴 형성 방법
WO2010073153A3 (en) * 2008-12-24 2010-09-30 Kimberly-Clark Worldwide, Inc. High repellency materials via nanotopography and post treatment
US8048743B2 (en) 2009-06-12 2011-11-01 Hynix Semiconductor Inc. Method for fabricating vertical channel type nonvolatile memory device
KR101639378B1 (ko) * 2015-06-19 2016-07-13 성균관대학교산학협력단 박테리아 방지용 소수성 박막 및 이의 제조 방법
KR20200052062A (ko) 2018-11-06 2020-05-14 우석대학교 산학협력단 순환유동층 반응기를 이용한 hdpe 분말의 cf4 플라즈마 표면개질

Also Published As

Publication number Publication date
CN101222983A (zh) 2008-07-16
US20100221452A1 (en) 2010-09-02
CN101222983B (zh) 2012-09-05
US8771806B2 (en) 2014-07-08
WO2007007995A1 (en) 2007-01-18
KR100775789B1 (ko) 2007-11-13

Similar Documents

Publication Publication Date Title
KR100775789B1 (ko) 소수성 또는 초소수성 처리를 위하여 상압 플라즈마를이용한 표면코팅방법
CA2659298C (en) Plasma surface treatment using dielectric barrier discharges
Shenton et al. Surface modification of polymer surfaces: atmospheric plasma versus vacuum plasma treatments
Kim et al. Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics
Massines et al. Atmospheric pressure low temperature direct plasma technology: status and challenges for thin film deposition
JPH03219082A (ja) 吹出型表面処理装置
Wolf et al. Role of plasma surface treatments on wetting and adhesion
US20060156983A1 (en) Low temperature, atmospheric pressure plasma generation and applications
US20050158480A1 (en) Protective coating composition
US20110308457A1 (en) Apparatus and method for treating an object
König et al. Stability and ageing of plasma treated poly (tetrafluoroethylene) surfaces
EP2408947A1 (en) Apparatus and method for deposition of functional coatings
Bosso et al. Deposition of Water‐Stable Coatings Containing Carboxylic Acid Groups by Atmospheric Pressure Cold Plasma Jet
Paulussen et al. Physical and chemical properties of hybrid barrier coatings obtained in an atmospheric pressure dielectric barrier discharge
Vinogradov et al. Spectroscopic diagnostics of DBD in Ar/fluorocarbon mixtures–correlation between plasma parameters and properties of deposited polymer films
Lee et al. Preparation and characterization of thin films by plasma polymerization of hexamethyldisiloxane
Mansuroglu et al. Argon and nitrogen plasma modified polypropylene: Surface characterization along with the optical emission results
DE102008064134B4 (de) Verfahren zur Beschichtung von Gegenständen mittels eines Niederdruckplasmas
Shi et al. Modification of PMMA surface hydrophobic properties using an atmospheric-pressure plasma jet array for the enhancement of flashover voltage
Vinogradov et al. Structure and chemical composition of polymer films deposited in a dielectric barrier discharge (DBD) in Ar/fluorocarbon mixtures
JPH06108257A (ja) 大気圧吹き出し型プラズマ反応装置
Svarnas et al. Highly-selective wettability on organic light-emitting-diodes patterns by sequential low-power plasmas
Araya et al. Application to cleaning of waste plastic surfaces using atmospheric non-thermal plasma jets
Ningel et al. Characterizing the remote plasma polymerization of octafluorocyclobutane induced by RF-driven hollow-cathode discharge
KR20060082400A (ko) 플라즈마 발생 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131105

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141105

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151105

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161103

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171102

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 13