KR20030016165A - 기판 이송 방법 및 로드 포트 장치와 기판 이송 시스템 - Google Patents

기판 이송 방법 및 로드 포트 장치와 기판 이송 시스템 Download PDF

Info

Publication number
KR20030016165A
KR20030016165A KR1020020045486A KR20020045486A KR20030016165A KR 20030016165 A KR20030016165 A KR 20030016165A KR 1020020045486 A KR1020020045486 A KR 1020020045486A KR 20020045486 A KR20020045486 A KR 20020045486A KR 20030016165 A KR20030016165 A KR 20030016165A
Authority
KR
South Korea
Prior art keywords
substrate
door
storage container
load port
substrate storage
Prior art date
Application number
KR1020020045486A
Other languages
English (en)
Inventor
세이따히사하루
Original Assignee
가부시끼가이샤 한도따이 센단 테크놀로지스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 한도따이 센단 테크놀로지스 filed Critical 가부시끼가이샤 한도따이 센단 테크놀로지스
Publication of KR20030016165A publication Critical patent/KR20030016165A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

기판 수납 용기의 도어를 개방할 때, 외기가 기판 수납 용기로 들어가는 것을 방지하고, 기판 수납 용기 내부에 수납된 기판에 이물질이 부착하는 것을 억제한다.
기판 처리 장치에 구비된 로드 포트 장치에, 기판을 수납하여 도어로 봉한 기판 수납 용기를 적재하고, 로드 포트 장치의 도어와 기판 수납 용기의 도어를 대향시켜 도킹시키고, 기판 수납 용기의 도어를 개방하여 내부에 수납된 기판을 기판 처리 장치 내로 이송하는 기판의 이송 방법에 있어서, 도어를 개방하기 전에 기판 수납 용기의 내부를 가압한다.

Description

기판 이송 방법 및 로드 포트 장치와 기판 이송 시스템 {Board Feeding Method, Load port Device and Board Feeding System}
본 발명은 기판의 이송 방법 및 로드 포트 장치 및 기판 이송 시스템에 관한 것이다.
또한, 구체적인 적용으로서는 기판을 수납한 기판 수납 용기의 도어를 개방할 때에, 기판 수납 용기의 외기를 기판 수납 용기 내로 권입되는 것을 방지할 수 있도록 한 기판의 이송 방법 및 기판 이송 시스템의 사용 및 구조에 관한 것이다.
본 발명의 전형적인 예로서는 반도체 장치 및 액정 표시 장치 등의 제조시에 기판을 수납하여, 운반하기 위한 밀폐식의 기판 수납 용기 및 내부에 수납된 기판을 기판 처리 장치 내부로 취입한 로드 포트 장치에 관한 것이고, 이하, 반도체 장치의 제조의 경우를 예를 들어 설명한다.
도8은 반도체 장치의 제조시에 이용되는 공지의 가로 도어 일체형의 기판 수납 용기를 설명하기 위한 사시도이다.
이것은, 예를 들면, 플루오로웨어(FLUOROWAVE)사 제조 카타로그에 기재되어 있는 것이 있다. 이러한 타입의 것은 SEMI규격으로 포우프(FOUP)라고 불려지고 있다. FOUP는 프런트 오픈닝 유니파이드 포드(Front Opening Unified Pod)의 약칭이다. 상세한 치수 등의 정보는 SEMI 규격 E62, E1.9, E 47.1 등에 기재되어 있다.
도8에 있어서, 도면 부호 100은 기판 수납 용기인 웨이퍼 캐리어이며, 여기에서는, 상술한 SEMI 규격의 FOUP를 이용하여 설명한다. 또한, 도8에 있어서 도면 부호 2는 표면의 일부를 절결한 상태의 캐리어 도어를 도시한다.
또한, 웨이퍼 캐리어(100)는 캐리어 셀(1) 및 캐리어 도어(2)를 가지고, 이캐리어 도어(2)로부터, 내부에 수납된 기판을 빼고 넣을 수가 있다.
웨이퍼 캐리어(100) 내부에 있어서 기판은 캐리어 셀의 내측에 구비된 웨이퍼 티스(10)와 캐리어 도어(2)에 구비된 리테이너(11)로 지지되어 있다.
또한, 캐리어 도어(2)가 폐쇄된 상태에서는 웨이퍼 캐리어(100) 내부는 밀폐 공간이며, 따라서, 기판은 대기 중의 이물질이나 화학적인 오염으로부터 방어된다.
한편, 기판을 기판 처리 장치로 이송하여 기판에 필요한 처리를 행하기 위해서는 웨이퍼 캐리어(100) 내부에 수납된 기판을 취출하여, 기판 처리 장치 내에 취입하기 위한 기구를 갖는 로드 포트 장치가 필요하다. 예를 들면, 상술한 F0UP 에 대해서는, SEMI 규격으로 규정된 FIMS 면을 갖는 로드 포트 장치가 있다.
FIMS는 프런트 오픈닝 인터페이스 미케니컬 스탠다드(Front-Opening Interface Mechanical Standard)의 약칭이다.
도9는 기판 처리 장치에 구비된 로드 포트 장치(300)에 웨이퍼 캐리어(100)가 적재된 상태를 도시하는 단면 모식도이다.
로드 포트 장치(300)는 로드 포트 장치의 다이부(30)에서 웨이퍼 캐리어(100)를 일정 위치에 두기 위한 키네마틱핀(31A)과, 캐리어 도어와 도킹하여 도어개폐 작동을 행하는 로드 포트 도어(FIMS 도어, 32)를 구비하고 있다.
이 시스템에서는 기판(19)을 수납한 웨이퍼 캐리어(100)가 로드 포트 장치(300)에 적재된 후, 로드 포트 도어(FlMS 도어, 32)와 웨이퍼 캐리어의 캐리어 도어(2)가 도킹하여 캐리어 도어(2)가 열린다. 이 때, 도킹한 로드 포트 도어(32)와 캐리어 도어(2)는 기판 처리 장치 내의 소정의 장소에 수납된다.
이러한 시스템을 이용한 경우, 캐리어 도어 개폐시에 웨이퍼 캐리어 외기가 웨이퍼 캐리어의 내부로 들어간다. 이 때, 외기에 혼합되어, 이물질 등이 웨이퍼 캐리어 내부에 들어가서, 웨이퍼 캐리어 내부에 수납된 기판에 부착한다. 이러한 이물질 등의 부착은 패턴의 결함 등을 발생시킬 가능성이 있기 때문에 문제이며, 어떠한 대책이 필요하다.
따라서, 이것에 대하여, 예를 들면, 로드 포트 장치측에는 치수 정밀도와 클리어런스가 어떤 FOUP에서도 문제가 없도록 호환성이 유지되는 치수 설계와 센터링이 행해지고 있다. 이것에 의해서, 장착된 웨이퍼 캐리어가 전후 좌우 혹은 상하로 어긋나서, 이물질이 발생하는 것을 방지한다.
또한, 도어 개방시의 개폐 속도를 느리게 하거나, 도어 개방시의 속도나 가속도를 변경하여 완만하게 도어를 개폐할 수 있도록 하거나, 캠이나 스프링을 이용하여 기계적으로 완만한 개폐를 실현하기도 한다. 이것에 의해서, 패킹이나 도어의 마찰에 의해 발생하는 이물질을 포함한 외기의 웨이퍼 캐리어 내부로의 유입을 방지하고 있다.
또한, 기판의 유무나 높이의 위치를 측정하기 위한 맵퍼 등의 가동부로부터 이물질이 발생한 경우에도 이 이물질을 기판에 부착시키지 않게 하기 위해서, 가동부의 배치를 기판의 상방으로부터 기판의 하방으로 변경한 로드 포트 장치도 있다.
또한, 기판 처리 장치 내부를 외기보다 고압으로 유지하여, 로드 포트 장치로부터 끊임없이 불어내기를 행할 수 있고, 권입이 다소 있어도 외기는 웨이퍼 캐리어 내로 권입되지 않는 구성을 가지고 있는 것도 있다.
그런데, 웨이퍼 캐리어의 캐리어 도어는 인발되거나 혹은 압입됨으로써 개폐된다. 이것에 관해서 도10의 (a) 내지 (d)를 이용하여 설명한다.
도10은 웨이퍼 캐리어 도어를 개폐할 때의 상태를 도시하는 도면이다. 도10의 (a) 및 도1O의 (b)는 캐리어 도어를 폐쇄할 때의 상태를 도시하는 도면이며, 도10의 (c) 및 도10의 (d)는 캐리어 도어를 개방할 때의 상태를 도시하는 도면이다.
도10의 (a)에 도시한 바와 같이, 캐리어 도어(2)를 닫을 때에는 캐리어 도어(2)는 화살표로 나타내는 방향으로 압입된다. 통상, 캐리어 도어(2)와 캐리어 셀(1)의 캐리어 도어(2)와 대향하는 부분(1A)과의 간격은 좁게 되어 있기 때문에, 캐리어 도어(2)를 폐쇄하는 동작이 시작되면 웨이퍼 캐리어(100) 내의 분위기가 밖으로는 릴리프되기 어럽게 된다. 따라서, 웨이퍼 캐리어(100) 내부는 압입된 캐리어 도어(2)의 체적분만 가압되게 된다.
이 경우에는, 도10의 (b)에 도시한 바와 같이, 캐리어 도어(2)를 압입할 때, 캐리어 셀(1)의 캐리어 도어(2)와 대향하는 부분(1A)을 외측으로 개방할 수 있도록 하여, 웨이퍼 캐리어(100) 내부의 분위기를 외부로 릴리프시키는 대책을 취할 수 있다. 이와 같이 하면, 캐리어 도어 폐쇄시에 이물질이 발생하더라도, 캐리어 내부로부터 외부로 릴리프하는 분위기의 흐름을 타고, 웨이퍼 캐리어 내부로 들어가는 것을 방지할 수 있다.
한편, 도10의 (c)에 도시한 바와 같이, 캐리어 도어(2)를 개방할 때에는, 캐리어 도어(2)는 화살표로 나타낸 방향으로 인발된다. 이 경우에도 통상, 캐리어셀(1)의 부분(1A)과 캐리어 도어(2)와의 간격은 좁게 되어 있기 때문에, 웨이퍼 캐리어(100) 내부는 인발된 캐리어 도어(2)의 체적분만 감압되게 된다.
이 경우, 감압된 캐리어 내부보다도 외기는 양압으로 되어 있기 때문에, 도 10의 (d)에 화살표에서 나타낸 바와 같이, 외기가 웨이퍼 캐리어(100) 내로 들어가는 흐름이 가능하다. 예를 들면, 도10의 (d)에 도시한 바와 같이, 캐리어 도어(2)가 다소 어긋나거나 해서 이물질이 발생한 경우, 이 이물질은 웨이퍼 캐리어(100) 내로 유입되는 외기의 흐름을 타고, 웨이퍼 캐리어 내로 들어가게 된다. 이와 같이 하여 웨이퍼 캐리어(100) 내에 들어간 이물질이 기판(19)에 부착되면, 패턴의 결함 등을 초래하게 되기 때문에 문제이며, 무엇인가 대책이 필요하다.
이상 설명한 바와 같이, 웨이퍼 캐리어의 캐리어 도어를 개방할 때에는, 웨이퍼 캐리어의 내부가 인발되는 도어의 체적분만 감압되기 때문에, 외기가 내부로 유입되게 된다. 따라서, 웨이퍼 캐리어 자체의 시일재 부분이나 도어에 있어서, 마찰 등으로 이물질이 발생하면, 그 이물질은 그대로 내부로 유입되는 외기의 흐름을 타고, 웨이퍼 캐리어 내로 들어가서, 기판에 부착하여 버리게 된다.
따라서, 본 발명은 이물질의 웨이퍼 캐리어 내부로의 침입을 막는 것을 목적으로 하고, 웨이퍼 캐리어 내부로 외기가 유입되는 현상을 억제하는 것을 가능하게 한 기판 이송 수단을 제안하는 것이며, 이것에 의해서 제품의 이물질에 의한 결함 등의 불량을 저감하여, 고수율을 달성할 수가 있다.
도1은 웨이퍼 캐리어의 외관을 도시하는 사시도.
도2는 웨이퍼 캐리어의 캐리어 도어를 개방한 상태를 도시하는 사시도.
도3은 웨이퍼 캐리어에서 기판이 지시되어 있는 상태를 도시하는 도면이며, (a)는 단면도, (b)는 상면으로부터의 투시도.
도4는 웨이퍼 캐리어의 외관을 설명하기 위한 개념도이며, (a)는 웨이퍼 캐리어의 일부 단면도를 포함하는 측면도, (b)는 웨이퍼 캐리어의 저면도.
도5는 복수의 기판 처리 장치가 설치된 생산 현장에 있어서 웨이퍼 캐리어의 자동 이송 방법을 설명하기 위한 개략도.
도6은 기판 처리 장치에 구비된 로드 포트 장치에, 웨이퍼 캐리어가 적재된 상태를 도시하는 단면모식도.
도7은 로드 포트 장치에 구비되어, 웨이퍼 캐리어 내부를 가압하기 위한 기체 공급 수단의 개념을 설명하기 위한 도면.
도8은 공지의 가로 도어 일체형의 웨이퍼 캐리어를 설명하기 위한 사시도.
도9는 로드 포트 장치에 웨이퍼 캐리어가 적재된 상태를 도시하는 단면모식도.
도10은 웨이퍼 캐리어의 도어를 개폐할 때의 상태를 도시하는 도면으로, (a) 및 (b)는 캐리어 도어를 폐쇄할 때의 상태를 도시하는 도면이며, (c) 및 (d)는 캐리어 도어를 개방할 때의 도면.
<도면의 주요 부분에 대한 부호의 설명>
100 : 웨이퍼 캐리어(기판 수납 용기)
300 : 로드 포트 장치
400 : 기체 공급 수단
1 : 캐리어 셀
2 : 캐리어 도어
3 : 로보트 플랜지
4 : 레지스트레이션 구멍
4A : 퍼지 포트
5 : 래치키 구멍
6 : 매뉴얼 핸들
8 : 시일재
9 : 클램핑 기구의 계합편
10 : 웨이퍼 티스
11 : 리테이너
12 : 기부판
13 : V홈
14 : 도입구(필터)
15 : 밸브
15A : 감압 밸브
16 : 압력 센서
17 : 제어 수단
18 : 접속구
19 : 기판
20 : OHT
21 : 기판 처리 장치
22 : 호이스트 기구
30 : 다이부
30A : 로드 포트 베이스 다이
31 : 키네마면
31A : 키네마틱 핀
32 : 로드 포트 도어
33 : 래치키
33A : 레지스트레이션 핀
34 : 맵퍼
본 발명의 기판 이송 방법은 기판 처리 장치의 로드 포트 장치에 기판을 수납하여 도어로 봉한 기판 수납 용기를 적재하여, 상기 로드 포트 장치의 로드 포트 도어와 상기 기판 수납 용기의 도어를 대향시켜 도킹시키고, 상기 기판 수납 용기의 도어를 개방하여 내부에 수납된 상기 기판을 상기 기판 처리 장치 내로 이송하는 기판의 이송 방법에 있어서,
상기 도어를 개방하기 전에, 상기 기판 수납 용기의 내부를 가압하는 것이다.
즉, 본 발명은 기판 수납 용기의 도어를 개방할 때에, 기판 수납 용기의 내부를 어떠한 방법으로 가압하여 놓은 것에 특징을 가지는 것이다.
또한, 본 발명의 기판 이송 방법은 상기 가압을 상기 기판 수납 용기에 설치된 필터부착의 공기 흐름 통로를 거쳐서, 상기 기판 수납 용기의 외측으로부터 가압 기체를 도입함으로써 행해지는 것이다.
또한, 본 발명의 기판 이송 방법은 상기 가압 기체의 도입량을 완만히 변화시키면서 도입하는 것이다.
또한, 본 발명의 기판 이송 방법은 상기 가압을 상기 기판 수납 용기의 도어 개방 동작과 연동하여 행하는 것이다.
또한, 본 발명의 기판의 이송 방법은 상기 가압을 상기 로드 포트 도어와 상기 기판 수납 용기의 도어가 도킹한 직후로부터 상기 기판 수납 용기의 도어가 개방을 마치기까지의 사이에 행하는 것이다.
또한, 본 발명의 기판의 이송 방법은 상기 가압을 상기 로드 포트 장치의 다이부에 상기 기판 수납 용기가 적재된 직후로부터 상기 기판 수납 용기의 도어가 개방을 마치기까지의 사이에 행하는 것이다.
또한, 본 발명의 기판 이송 방법은, 상기 가압에 의해 상기 기판 처리 장치 내의 인클로우저 내압보다 소정 레벨 높은 압력으로 하는 것이다.
다음에, 본 발명의 로드 포트 장치는 기판 처리 장치에 설치되고, 기판 수납 용기를 적재하는 다이부와,
상기 기판 수납 용기의 도어를 개방하여, 상기 기판 수납 용기의 내부에 수납된 기판을 상기 기판 처리 장치의 내부로 이송하는 기구를 가지는 로드 포트 장치이며,
상기 기판 수납 용기에 설치된 기체 유통로에서부터 상기 기판 수납 용기의 내부로 가압 기체를 공급하는 기체 공급 수단을 구비한 것이다.
또한, 본 발명의 로드 포트 장치는 상기 기체 공급 수단이 상기 로드 포트 도어부에 구비된 것이다.
또한, 본 발명의 로드 포트 장치는 상기 기체 공급 수단이 상기 다이부에 구비된 것이다.
또한, 본 발명의 로드 포트 장치는 상기 기체 공급 수단이 상기 가압 기체의 도입량을 제어하는 제어 수단을 포함하는 것이다.
또한, 본 발명의 로드 포트 장치는 상기 기체 공급 수단이 상기 가압 기체의 압력을 측정하는 압력 센서를 포함하고,
상기 제어 수단이 이 압력 센서의 출력에 따라서, 상기 가압 기체의 도입을제어하는 것이다.
또한, 본 발명의 로드 포트 장치는 상기 제어 수단이 상기 기판 수납 용기의 도어의 개방 동작과 연동하여 상기 가압 기체의 도입을 제어하는 것이다.
본 발명의 기판 이송 시스템은 기체 유통로와 개폐 가능한 도어를 가지는 기판 수납 용기와,
기판 처리 장치에 설치된 가압 기체를 공급하는 기체 공급 수단을 구비한 로드 포트 장치를 포함하며,
상기 기판 수납 용기를 상기 로드 포트 장치에 적재시켜 상기 기판 수납 용기의 도어와 상기 로드 포트 도어를 대향시켜 도킹시키고, 상기 기판 수납 용기의 도어를 개방하여 상기 기판 수납 용기의 내부에 수납된 기판을 상기 기판 처리 장치의 내부에 이송할 때에,
상기 로드 포트의 상기 기체 공급 수단에 의해 상기 기판 수납 용기의 기체 유통로를 통해서 상기 기판 수납 용기의 내부로 가압 기체를 공급할 수 있도록 한 것이다.
<발명의 실시 형태>
이하 도면을 참조하여, 본 발명의 실시 형태에 관해서 설명한다. 또한, 각 도면에 있어서, 동일 또는 상당하는 부분에는 동일 부호를 붙여 그 설명을 생략 내지 간략화한다.
(실시 형태 1)
우선, 실시 형태 1의 개요를 설명하면, 실시 형태 1은 도어가 로드 포트 장치의 다이부에 적재된 후, 기판 수납 용기의 도어를 개방하기까지의 사이에, 기판 수납 용기의 내부를 가압해 두고, 그 상태로 도어를 개방하도록 하는 것이다. 이것에 의해서, 기판 수납 용기의 도어가 개방될 때에, 외기가 기판 수납 용기의 내부로 들어가는 것을 막을 수 있고, 이물질의 혼입을 억제할 수 있다.
도1은 본 발명의 실시 형태 1에 있어서 사용하는 기판 수납 용기를 도시하는 도면이다.
도1에 있어서, 도면 부호 100은 기판 수납 용기로서의 웨이퍼 캐리어이며, 실시 형태 1에서는 SEMI 규격의 FOUP를 사용한다.
또한, 도면 부호 1은 캐리어 셀, 2는 캐리어 도어를 나타낸다. 캐리어 도어를 개폐함으로써, 웨이퍼 캐리어(100) 내부에 기판을 수납할 수 있다. 또한, 도면 부호 3은 로보트 플랜지, 6은 매뉴얼 핸들, 7은 웨이퍼 캐리어(100)의 이송을 행하기 위한 사이드 레일을 나타내고, 이들은 캐리어 셀(1)의 외부에 구비되어 있다.
또한, 캐리어 도어(2)에 있어서, 도면 부호 4A는 필터가 설치된 퍼지 포트, 4는 레지스트레이션 핀 구멍, 5는 래치키 구멍을 나타낸다. 퍼지 포트(4A)는 웨이퍼 캐리어 내부의 분위기를 퍼지하기 위해서 이용되는 것이다. 또한, 레지스트레이션 핀 구멍(4)은 로드 포트 장치측에 있는 레지스트레이션 핀(33A)이 삽입된 상태로 캐리어 도어(2)와 로드 포트 도어가 도킹할 때의 위치 결정에 이용되는 것이며, 래치키 구멍(5)은 로드 포트 장치측에 있는 래치키(33, 기계적 개폐 기구)가 삽입된 상태로 캐리어 도어(2)의 개폐를 행하기 위한 것이다.
도2는 웨이퍼 캐리어(100)의 도어를 개방한 상태를 도시하는 사시도이다.단지, 여기에서 캐리어 도어(2)를 캐리어 셀(1)로부터 분리하여, 도어의 내측을 나타내고 있다. 또한, 도3은 웨이퍼 캐리어(100) 내에서 기판(19)이 지지되고 있는 상태를 도시하는 도면이며, 도3의 (a)는 단면도, 도3의 (b)는 상면으로부터의 투시도를 도시한다.
도2에 있어서, 도면 부호 8은 시일재(패킹), 9는 클램핑 기구의 계합편(부재)을 나타낸다. 시일재(8)는 캐리어 도어(2)가 캐리어 셀(1)에 접촉하는 면에 설치되고, 캐리어 셀(1)과의 사이의 밀폐성을 유지하기 위한 것이다. 또한, 클램핑 기구의 계합편(9)은 캐리어 셀(1)의 계합 구멍(도시하지 않음)에 계합하여 캐리어 도어(2)를 캐리어 셀(1)에 끼워 맞춤 상태로 고정하기 위한 것이다.
또한, 도면 부호 10은 캐리어 셀(1)의 내벽에 구비된 웨이퍼 티스를, 11은 캐리어 도어(2)의 내측에 구비된 리테이너를 도시한다. 웨이퍼 캐리어(100) 내부에 수납된 복수의 기판(19)은 선반같은 형상의 웨이퍼 티스(10) 및 리테이너(11)에 의해서, 도3에 도시한 것 같은 상태로 지지된다.
도4는 웨이퍼 캐리어(100)를 도시하는 개념도이며, 도4의 (a)는 웨이퍼 캐리어(100)의 일부 단면도를 포함하는 측면도, 도4의 (b)는 웨이퍼 캐리어(100)의 저면도이다.
도4에서, 도면 부호 12는 웨이퍼 캐리어(100)의 바닥부인 기부판을 나타내고, 13은 기부판에 구비된 V홈을 나타낸다. V홈(13)은 웨이퍼 캐리어(100)의 위치 결정을 위해 사용된다. 또한, 도4의 (a)에서는, 기부판(12)의 부분을 단면도로 도시하고 있다.
또한, 도면 부호 14는 기부판(12)에 설치된 필터를 나타낸다. 필터(14)는 종래로부터, 웨이퍼 캐리어 내로 이물질이 혼입되는 것을 방지하기 위해서 구비되어 있는 것이지만, 여기서는 웨이퍼 캐리어(100) 내에 고압 기체를 도입하는 수단과 접속하는 도입구로서의 역할을 한다.
도5는 복수의 기판 처리 장치가 설치된 생산 현장에 있어서 웨이퍼 캐리어(100)의 자동 이송 방법을 설명하기 위한 개략도이다.
도5에 있어서, 도면 부호 20은 OHT를 나타낸다. OHT는 오버헤드 호이스트 트랜스포트(Overhead Hoist Transport)의 약칭으로, 이것에 의해서, 각 기판 처리에 웨이퍼 캐리어(100)를 자동 이송한다.
또한, 도면 부호 21은 기판 처리 장치를 나타내고, 22는 호이스트 기구를 나타낸다. 또한, 도면 부호 30은 뒤에 설명하는 로드 포트 장치에 구비된 로드 포트 장치의 다이부를 나타낸다.
OHT(20)는 반도체 공장의 베이 내에서의 웨이퍼 캐리어(100)의 대표적인 자동 이송 기기이다. 열지어 설치된 복수의 기판 처리 장치(21)의 각각에는 로드 포트 장치의 다이부(30)가 설치되어 있고, OHT(20)를 이용하여 이송되는 웨이퍼 캐리어(100)가 적재되도록 구성되어 있다.
도6은 기판 처리 장치에 구비된 로드 포트 장치에, 웨이퍼 캐리어(100)가 적재된 상태를 도시하는 단면 모식도이다.
도6에 있어서, 도면 부호 300은 기판 처리 장치(21)에 구비된 로드 포트 장치를 나타낸다.
도면 부호 30A는 로드 포트 베이스 다이를 나타낸다. 또한, 도면 부호 31은 로트 포트 베이스 다이(30A)의 위에 구비된 키네마면을 나타내고, 31A는 키네마면으로 돌출된 키네마틱핀을 나타낸다. 이 키네마틱핀(31A)과 웨이퍼 캐리어(100)에 구비된 V 홈(13)이 끼워져 있어, 웨이퍼 캐리어(100)가 로드 포트 장치(300)에 적재될 때의 위치를 결정한다.
또한, 로드 포트 베이스 다이(30A), 키네마면(31), 키네마틱핀(31A)을 포함하여, 로드 포트 장치(300)의 다이부(30)가 구성된다.
도면 부호 32는 로드 포트 도어를 나타낸다. 이 로드 포트 도어(32)는 기판 처리 장치(21)의 벽면의 일부를 구성한다. 또한, 이 실시 형태에서는 기판 처리 장치(21)의 벽면은 SEMI 규격의 F0UP에 대응하는 FIMS 면의 일부를 구성한다.
도면 부호 33은 래치키이며, 로드 포트 도어(32)의 표면 상에 설치되어 있다. 래치키(33)는 캐리어 도어(2)의 개폐를 행하기 위한 래치키 구멍(5)에 삽입된 상태로 캐리어 도어(2)를 개폐하기 위해서 이용된다.
또한, 도면 부호 34는 맵퍼를 나타내고, 웨이퍼 캐리어 내의 기판의 유무나, 기판의 높이나 위치를 측정하기 위해 이용된다.
도7은 로드 포트 장치(300)에 구비된 웨이퍼 캐리어(100) 내부를 가압하기 위한 기체 공급 수단의 개념을 도시하기 위한 도면이다.
도면 부호 15는 웨이퍼 캐리어(100) 내부를 가압하기 위해 깨끗한 건조 고압 기체의 공급원에 접속된 밸브, 예를 들면 전자 밸브이다. 이 밸브(15)가 개방됨으로써, 공급원으로부터 웨이퍼 캐리어(100) 내부로 건조 고압 기체가 공급된다. 또한, 이 밸브(15)에 병렬로 감압 밸브(15A)가 구비되고 있고, 이것에 의해서 기체의 공급량을 조절할 수가 있다.
또한, 도면 부호 16은 도입하는 기체의 압력을 측정하기 위한 압력 센서를 나타내고, 17은 기체의 도입 개시나, 종료 혹은 도입량을 제어하는 신호를 밸브(15)에 부여하는 제어 수단을 나타낸다. 이 제어 수단(17)은 키네마면(31)에 웨이퍼 캐리어(100)가 도킹한 것을 감지하고, 또한 압력 센서에 의한 측정 결과를 수취하여, 그에 따라서 제어를 행한다.
또한, 도면 부호 18은 웨이퍼 캐리어(100)에 구비된 도입구(14)에 접속되는 접속부를 나타낸다. 이 접속부는 웨이퍼 캐리어(100)가 키네마면(31)에 적재되면, 이에 연동하여 자동적으로 도입구(14)에 접속되게 되어 있다.
밸브(15), 압력센서(16), 제어 수단(17) 및 접속부(18)를 포함해서 기체 공급 수단(40)이 구성된다.
또한, 이 기체 공급 수단(400)은 로드 포트 장치(300)에 구비되어 있는 것이지만, 도6에 있어서는 도시를 생략하고 있다.
다음에, 기판의 이송 방법에 관해서 설명한다. 반도체 공장 내에서 각종 처리를 받는 기판은 웨이퍼 캐리어(100)에 수납된 상태로 각 기판 처리 장치(21) 사이를 이동한다. 300mm 직경 클래스의 기판을 수납한 웨이퍼 캐리어(100)는 8kg 이상의 중량이기 때문에, 안전상 인력으로 이송은 생각하기 어렵고, OHT(20)부 등의 자동 이송 기기를 사용하게 된다.
우선, 웨이퍼 캐리어(100)의 캐리어 도어(2)를 개방하여, 처리하여야 할 기판을 웨이퍼 캐리어(100) 내부로 수납하고, 웨이퍼 캐리어(100)의 캐리어 도어(2)를 폐쇄한다. 웨이퍼 캐리어(100) 내부에서, 기판은 도3에 도시한 바와 같이 웨이퍼 티스(10)와 리테이너(11)에 의해서 지지되고 있다.
OHT(20)는 캐리어 셀(1)에 구비된 로보트 플랜지(3)를 파지하여 내부에 기판이 수납된 웨이퍼 캐리어(100)를 끌어올린다. 끌어 올려진 웨이퍼 캐리어(100)는 그대로 OHT(20)에서 기판 처리 장치(21)까지 운반된다.
계속해서, 웨이퍼 캐리어(100)를 호이스트 기구(22)를 이용하여, 기판 처리 장치(21)에 구비된 로보트 베이스 다이(30A) 상의 키네마면(31) 상에 내려서 소정 위치로 셋트한다. 웨이퍼 캐리어(100)는 그 기부판(12)에 설치된 V홈(13)에 키네마면(31)의 상면에 설치된 키네마틱핀(31A)이 끼워짐으로써, 로드 포트 베이스 다이(30A) 상의 적절한 위치에 적재된다.
키네마면(31)에 웨이퍼 캐리어(100)가 적재되면, 이것에 연동하여 도7의 (b)에 도시한 바와 같이, 기체 공급 수단(400)의 접속부(18)가 필터가 구비된 도입구에 접속된다.
한편, 제어 수단(17)은 웨이퍼 캐리어가 적재된 것을 감지하고, 밸브(15)를 개방하여, 기체의 도입을 개시한다.
또한, 호이스트 기구(22)를 웨이퍼 캐리어(100)로부터 빼내서, 웨이퍼 캐리어(100)를 로드 포트 베이스 다이(30A) 상에 남긴다. 그 후, 웨이퍼 캐리어(100)를 전진시켜서, 캐리어 도어(2)와 로드 포트 도어(32)를 도킹시킨다. 웨이퍼 캐리어(100)는 전진하여, 로드 포트 도어(32)의 FIMS 시일면에 밀어 부쳐진다.
그 사이에, 웨이퍼 캐리어(100) 내부로의 기체의 도입은 적어도 인발되는 캐리어 도어(2)의 체적분을 보충할 때까지는 계속된다.
또한, 이 기체 도입 시에는 압력 센서(16)에 의해서, 가압 기체의 기압이 측정되고 있다. 이 측정 결과는 제어 수단(17)으로 전해져서, 제어 수단(17)은 측정 결과에 따라서, 밸브(15) 및 감압 밸브(15A)를 제어하고, 웨이퍼 캐리어(100)의 내부의 압력이 외기에 대하여, 양압이 될 때까지 가압된다. 또한, 기판 처리 장치(21)의 인클로우저 내부와 외부의 압력차가 있는 경우에는, 웨이퍼 캐리어(100) 내에도, 이 압력차만큼, 외기에 대해 양압으로 하여 인클로우져 내부와 같은 정도 혹은 그 이상의 양압으로 되게 한다. 이 경우, 압력차는 10 Pa 이하가 바람직하다.
이상과 같이, 웨이퍼 캐리어(100) 내부의 압력이 조정된 상태에서, 캐리어 도어(1)를 이하와 같이 해서 개방한다. 우선, 래치키(33)를 회전시킴으로써, 캐리어 도어(2)의 도어 클램핑 기구(도시하지 않음)의 계합편(9)을 캐리어 셀(1)로부터 빼냄과 동시에, 캐리어 도어(2)를 로드 포트 도어(32)에 고정시킨다. 계속해서, 캐리어 도어(2)는 캐리어 셀(1)로부터 완전히 제거되고, 기판 처리 장치(21) 내 하부로 이동시킨다.
이 때, 웨이퍼 캐리어(100)에서는 캐리어 도어가 인발되기 때문에, 캐리어도어(2)의 체적만큼, 부압으로 된다. 그러나, 건조 고압 기체를 웨이퍼 캐리어(100)로 도입함으로써, 웨이퍼 캐리어(100)의 내부는 미리 고압에 되어 있기 때문에, 이 부압에 의해, 외기가 웨이퍼 캐리어(100) 내로 유입하는 것을 막을 수 있다. 이와같이 하여, 캐리어 도어(2)의 개방시에, 웨이퍼 캐리어(100) 내부가 부압으로 되어, 권입이 발생하는 것을 방지할 수 있다.
또한, 외기에 대하여, 인클로우저 내부가 양압인 경우에도, 그 압력차 만큼 이상, 웨이퍼 캐리어(100) 내부도 양압으로 되어 있기 때문에, 외기 혹은, 인클로우져 내부로부터의 기체의 유입을 방지할 수가 있다.
이상 설명한 것 같이, 기체 공급 수단(400)에 있어서는, 로드 포트 장치(200)의 다이부(30)에 웨이퍼 캐리어(100)가 적재되는 것에 연동하여, 접속구(18)가 필터(14)에 접속된다. 또한, 제어 수단(17)은 웨이퍼 캐리어의 적재에 연동하여 밸브(15)를 열고, 기체의 공급을 개시한다. 또한, 제어 수단(17)은 캐리어 도어(2) 개방 동작을 감지하고, 이것에 연동시켜서 캐리어 도어(2) 개방까지 웨이퍼캐리어(100) 내부를 가압해 놓는다.
이와 같이 하여, 기체 공급 수단(200)은 제어 수단(17), 밸브(15) 및 감압 밸브(15A) 등을 이용함으로써, 캐리어 도어(2)의 개방 동작에 연동시켜서, 웨이퍼캐리어(100) 내부를 가압한다.
그러나, 본 발명에 있어서는, 가압을 캐리어 도어(2)의 개방 동작에 연동할 수 있는 경우에 한하는 것은 아니고, 캐리어 도어(2)의 해방전에 웨이퍼 캐리어(100) 내부를 가압할 수 있는 것이면 좋다.
또한, 도어 개방시에는, 이미 웨이퍼 캐리어(100) 내부는 가압되어 있기 때문에, 기체의 공급을 멈추는 것이라도 좋다. 또한, 도어 개방중에도 기체 도입을 계속하는 것이라도 좋다. 이것에 의해서, 웨이퍼 캐리어(100) 내부로의 이물질 혼입을 한층 더 억제할 수 있다.
또, 기체의 도입 방법으로서는 일정량으로 기체 도입을 계속하는 것이라도 좋고, 또한, 펄스형으로 양을 변동시켜 도입하는 것이라도 좋다. 또한, 단계적으로 도입량을 늘려 가는 것이라도 좋다.
또한, 양의 증가를 완만히 변동시켜 도입하는 방법, 예를 들면, 종축에 기체의 도입량을, 횡축에 시간을 취해서 그래프 기체의 도입량의 변화를 그래프에 나타낸 경우에, S자 파형으로 되는 도입 방법 등이라도 좋다. 이와 같이 하면, 천천히 가압할 수 있고, 기체를 도입하는 것에 의한 웨이퍼 캐리어(100) 내부에서의 권입도 억제하여, 이물질의 부착을 억제할 수 있다.
또한, 이 실시 형태에서는 기체도입구로서 종래로부터 웨이퍼 캐리어(100)의 기부판(12)에 있는 필터(14)를 이용하였지만, 이것에 제한되는 것은 아니고, 기체 도입을 위해서 특별히 설치한 것이라도 좋다. 또한, 도입구가 2개 있는 경우에는, 2개의 도입구로부터 가스의 도입량에 차이를 두어도 좋고, 한 방향을 막아, 다른 방향만으로부터 도입해도 좋다.
또한, 이 실시의 형태에서 기체 공급 수단은 밸브(15), 감압 밸브(15A), 압력 센서(16), 제어 수단(17) 및 접속부(18)를 포함하여 구성된다. 그러나, 이것에 제한되지 않고 압력 센서가 구비되어 있지 않은 것이나, 제어 밸브(15A), 제어 수단(17)이 구비되어 있지 않은 것이라도 좋다.
(실시 형태 2)
본 발명의 실시 형태 2에서 이용되는 웨이퍼 캐리어(100), OHT(20) 및 로드포트(300)는, 도1로부터 도6에 도시한 것과 구조적으로는 동일하다.
다만, 기체 공급 수단(400)이 마련되는 장소가 다르다. 즉, 실시 형태 1에서는 기체 공급 수단(400)은 로드 포트 장치(300)의 다이부(30)에 구비되어 있다. 그러나, 이 실시 형태에 있어서, 기체 공급 수단(400)은 로드 포트 장치(300)의 로드 포트 도어(2) 측에 구비된다.
또한, 실시 형태 1에서는, 기체 공급 수단(400)은 웨이퍼 캐리어(100)의 기부판(12)에 설치된 필터(14)를 도입구로 하여 이것에 접속된다. 그러나, 실시 형태 2에서는 웨이퍼 캐리어(100)의 캐리어 도어(2)에 설치되어 있는 퍼지 포트(4)를 도입구로 이용한다. 이 퍼지 포트(4)는 웨이퍼 캐리어(100) 내의 기체를 퍼지하기 위해서 이용되는 것이며, 필터가 설치되어 있다.
기체 공급 수단(400)에 있어서는, 로드 포트 도어(2)에 캐리어 도어(2)가 도킹되는 것에 연동하여, 접속구(18)가 퍼지 포트(4)에 접속된다. 또한, 제어 수단(17)은 캐리어 도어(2)의 도킹에 연동하여 밸브(15)를 개방하고, 기체의 공급을 개시한다. 또한, 제어 수단(17)은 캐리어 도어(2)의 개방 동작을 감지하고, 이것에 연동시켜서, 캐리어 도어(2)의 개방시까지 웨이퍼 캐리어(100) 내부를 가압해 놓는다.
이와 같이 하여, 기체 공급 수단(200)은 밸브(15), 제어 수단(17) 등을 이용함으로써, 캐리어 도어(2)가 로드 포트에 도킹한 후 개방되기까지 동작에 연동시켜서, 웨이퍼 캐리어(100) 내부를 가압한다.
그러나, 본 발명에 있어서는 가압을 캐리어 도어(2)의 개방 동작에 연동할수 있는 경우에 제한하는 것은 아니고, 캐리어 도어(2)의 해방 전에 웨이퍼 캐리어(100) 내부를 가압할 수 있는 것이면 좋다.
또한, 이 실시 형태에 있어서는 도입구로서 웨이퍼 캐리어에 구비된 퍼지 포트를 이용하였지만, 이것에 제한되는 것 아니고, 기체 공급 수단을 접속하는 전용의 도입구를 설치해도 좋다. 또한, 이 도입구에는 필터를 설치하면 좋다.
그 밖의 부분은 실시 형태 1과 마찬가지이므로 설명을 생략한다.
이와 같이 하면, 웨이퍼 캐리어(100) 내부를 양압으로 유지할 수 있고, 도어 개방시 외기 혹은 인클로우져 내부의 기체 권입 발생을 방지할 수가 있다.
이상 설명한 바와 같이, 본 발명에 따르면, 웨이퍼 캐리어의 캐리어 도어를 개방하기 전 혹은 개방하는 동작중 계속하여, 웨이퍼 캐리어 내부에, 외부에 대하여 양압이 되도록 압력을 가한다. 따라서, 웨이퍼 캐리어의 캐리어 도어를 개방할 때에, 외기를 웨이퍼 캐리어 내로 권입하는 것을 억제하는 수 있고, 외기에 포함되는 이물질이 기판에 부착하는 것을 방지할 수가 있다.
또한, 본 발명은 종래 사용하고 있는 웨이퍼 캐리어, OHT 및 로드 포트 장치에 어떠한 개량도 가하는 일 없이, 로드 포트 장치에 어떠한 기체 공급 수단을 부가하는 것만이라도 좋다. 또한, 기체 공급 수단에 관해서도 압력센서, 펄프, 제어 수단 및 접속구 등을 가지면 좋고, 특수한 기구를 필요로 하지 않는다.
따라서, 특히 반도체 제조에 있어서, 저비용으로 확실한 제조를 실현할 수가 있다.

Claims (14)

  1. 기판 처리 장치의 로드 포트 장치에 기판을 수납하여 도어로 봉한 기판 수납 용기를 적재하고, 상기 로드 포트 장치의 로드 포트 도어와 상기 기판 수납 용기의 도어를 대향시켜 도킹시키고, 상기 기판 수납 용기의 도어를 개방하여 내부에 수납된 상기 기판을 상기 기판 처리 장치 내로 이송하는 기판의 이송 방법에 있어서,
    상기 도어를 개방하기 전에, 상기 기판 수납 용기의 내부를 가압하는 것을 특징으로 하는 기판의 이송 방법.
  2. 제1항에 있어서, 상기 가압은, 상기 기판 수납 용기에 설치된 필터부착의 공기 유통로를 거쳐서, 상기 기판 수납 용기의 외측으로부터 가압 기체를 도입함으로써 행하는 것을 특징으로 하는 기판의 이송 방법.
  3. 제2항에 있어서, 상기 가압 기체의 도입량을 완만히 변화시키면서 도입하는 것을 특징으로 하는 기판의 이송 방법.
  4. 제1항 또는 제3항에 있어서, 상기 가압은, 상기 기판 수납 용기의 도어 개방 동작과 연동하여 행하는 것을 특징으로 하는 기판의 이송 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 가압은, 상기 로드 포트 도어와 상기 기판 수납 용기의 도어가 도킹한 직후로부터 상기 기판 수납 용기의 도어가 개방을 끝내기까지의 사이에 행해지는 것을 특징으로 하는 기판의 이송 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 가압은 상기 로드 포트 다이부에, 상기 기판 수납 용기가 적재된 직후로부터 상기 기판 수납 용기의 도어가 개방을 끝내기까지의 사이에 행해지는 것을 특징으로 하는 기판의 이송 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 가압은 상기 기판 처리 장치 내의 인클로우저 내압보다 소정 레벨 높은 압력으로 하는 것을 특징으로 하는 기판의 이송 방법.
  8. 기판 처리 장치에 설치되고, 기판 수납 용기를 적재한 다이부와, 상기 기판 수납 용기의 도어를 개방하여, 상기 기판 수납 용기의 내부에 수납된 기판을 상기 기판 처리 장치의 내부로 이송하는 기구를 가지는 로드 포트 장치이며,
    상기 기판 수납 용기에 설치된 기체 유통로에서부터 상기 기판 수납 용기의 내부로, 가압 기체를 공급하는 기체 공급 수단을 구비한 것을 특징으로 하는 로드 포트 장치.
  9. 제8항에 있어서, 상기 기체 공급 수단은 상기 로드 포트 도어부에 구비된 것을 특징으로 하는 로드 포트 장치.
  10. 제8항에 있어서, 상기 기체 공급 수단은 상기 다이부에 구비된 것을 특징으로 하는 로드 포트 장치.
  11. 제8항 내지 제10항 중 어느 한 항에 있어서, 상기 기체 공급 수단은 상기 가압 기체의 도입량을 제어하는 제어 수단을 포함하는 것을 특징으로 하는 로드 포트 장치.
  12. 제11항에 있어서, 상기 기체 공급 수단은 상기 가압 기체의 압력을 측정하는 압력 센서를 포함하고,
    상기 제어 수단은 이 압력 센서의 출력에 따라서, 상기 가압 기체의 도입을 제어하는 것을 특징으로 하는 로드 포트 장치.
  13. 제8항 내지 제12항 중 어느 한 항에 있어서, 상기 제어 수단은 상기 기판 수납 용기의 도어의 개방 동작과 연동하여, 상기 가압 기체의 도입을 제어하는 것을 특징으로 하는 로드 포트 장치.
  14. 기체 유통로와, 개폐 가능한 도어를 가지는 기판 수납 용기와,
    기판 처리 장치에 설치된 가압 기체를 공급하는 기체 공급 수단을 구비한 로드 포트 장치를 포함하고,
    상기 기판 수납 용기를 상기 로드 포트 장치에 적재하여 상기 기판 수납 용기의 도어와 상기 로드 포트 도어를 대향시켜 도킹시키고, 상기 기판 수납 용기의 도어를 개방하여 상기 기판 수납 용기의 내부에 수납된 기판을 상기 기판 처리 장치의 내부로 이송할 때에,
    상기 로드 포트 장치의 상기 기체 공급 수단에 의해 상기 기판 수납 용기의 기체 유통로를 거쳐 상기 기판 수납 용기의 내부로 가압 기체를 공급할 수 있도록 한 것을 특징으로 하는 기판 이송 시스템.
KR1020020045486A 2001-08-20 2002-08-01 기판 이송 방법 및 로드 포트 장치와 기판 이송 시스템 KR20030016165A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00249521 2001-08-20
JP2001249521A JP3697478B2 (ja) 2001-08-20 2001-08-20 基板の移送方法及びロードポート装置並びに基板移送システム

Publications (1)

Publication Number Publication Date
KR20030016165A true KR20030016165A (ko) 2003-02-26

Family

ID=19078512

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020045486A KR20030016165A (ko) 2001-08-20 2002-08-01 기판 이송 방법 및 로드 포트 장치와 기판 이송 시스템

Country Status (3)

Country Link
US (1) US6808352B2 (ko)
JP (1) JP3697478B2 (ko)
KR (1) KR20030016165A (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170137239A (ko) * 2016-06-02 2017-12-13 세메스 주식회사 기판 처리 장치 및 방법
US9978623B2 (en) 2007-05-09 2018-05-22 Brooks Automation, Inc. Side opening unified pod
KR20190117551A (ko) * 2017-02-22 2019-10-16 도쿄엘렉트론가부시키가이샤 기판 수납 처리 장치, 기판 수납 처리 방법 및 기록 매체

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6789328B2 (en) * 2001-04-17 2004-09-14 Brooks Automation, Inc. Semiconductor load port alignment device
US7282889B2 (en) 2001-04-19 2007-10-16 Onwafer Technologies, Inc. Maintenance unit for a sensor apparatus
US7537425B2 (en) * 2002-12-30 2009-05-26 Tdk Corporation Wafer processing apparatus having dust proof function
JP4027837B2 (ja) * 2003-04-28 2007-12-26 Tdk株式会社 パージ装置およびパージ方法
US7344030B2 (en) * 2003-11-07 2008-03-18 Entegris, Inc. Wafer carrier with apertured door for cleaning
WO2005122241A1 (ja) * 2004-06-14 2005-12-22 Hirata Corporation 容器開閉装置及びその容器載置位置調整方法
US9010384B2 (en) * 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
WO2005124853A1 (ja) * 2004-06-21 2005-12-29 Right Mfg,Co.,Ltd. ロードポート
US7151589B2 (en) * 2004-06-24 2006-12-19 Asml Netherlands B.V. Lithographic apparatus and patterning device transport
CN101044074B (zh) * 2004-08-19 2014-04-02 布鲁克斯自动化公司 容量减小的运载器和使用方法
US7528936B2 (en) * 2005-02-27 2009-05-05 Entegris, Inc. Substrate container with pressure equalization
US20100310351A1 (en) * 2006-03-30 2010-12-09 Tokyo Electron Limited Method for handling and transferring a wafer case, and holding part used therefor
JP4681485B2 (ja) * 2006-03-30 2011-05-11 東京エレクトロン株式会社 ウエハケースの運用方法、ウエハケースの搬送方法及びウエハケース搬送用保持部品
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
CN100466166C (zh) * 2006-05-17 2009-03-04 台湾积体电路制造股份有限公司 制程设备组
EP2360722B1 (en) * 2006-08-04 2012-12-05 E. I. du Pont de Nemours and Company Assembly for depositing air sensitive material
WO2008144668A1 (en) * 2007-05-17 2008-11-27 Brooks Automation, Inc. Side opening substrate carrier and load port
JP6027303B2 (ja) * 2007-05-17 2016-11-16 ブルックス オートメーション インコーポレイテッド 側部開口部基板キャリアおよびロードポート
US7784178B2 (en) * 2007-06-29 2010-08-31 Intel Corporation Higher performance barrier materials for containers of environmentally sensitive semiconductor fabrication devices
JP4965380B2 (ja) * 2007-08-09 2012-07-04 信越ポリマー株式会社 処理治具用の収納ケース
JP4965472B2 (ja) * 2008-01-28 2012-07-04 信越ポリマー株式会社 処理治具用の収納容器
JP4692584B2 (ja) * 2008-07-03 2011-06-01 村田機械株式会社 パージ装置
US20100051501A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Ic waper carrier sealed from ambient atmosphere during transportation from one process to the next
CN101673696B (zh) * 2008-09-12 2011-09-28 家登精密工业股份有限公司 门上配置有晶片限制件的前开式晶片盒
CN101685789B (zh) * 2008-09-25 2011-09-14 家登精密工业股份有限公司 具有一体成形晶片限制件模块的前开式晶片盒
JP5381054B2 (ja) * 2008-12-02 2014-01-08 シンフォニアテクノロジー株式会社 ロードポート
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
JP5015280B2 (ja) 2010-02-26 2012-08-29 Tdk株式会社 基板収納ポッドおよびその蓋部材並びに基板の処理装置
JP2011187539A (ja) * 2010-03-05 2011-09-22 Sinfonia Technology Co Ltd ガス注入装置、ガス排出装置、ガス注入方法及びガス排出方法
DE102010012976A1 (de) * 2010-03-22 2011-09-22 Schmid Technology Systems Gmbh Transportkassette und Transportmittel zum Transport solcher Transportkassetten
CN103548130B (zh) * 2011-05-25 2016-08-17 村田机械株式会社 载入机装置、搬运系统、以及容器搬出方法
JP6087161B2 (ja) * 2012-02-03 2017-03-01 東京エレクトロン株式会社 基板収容容器のパージ方法
US20140041755A1 (en) * 2012-08-09 2014-02-13 Santa Phoenix Technology Inc. Wafer pod gas charging apparatus
JP6044169B2 (ja) * 2012-08-10 2016-12-14 シンフォニアテクノロジー株式会社 パージ装置、ロードポート
JP6260109B2 (ja) * 2013-05-16 2018-01-17 シンフォニアテクノロジー株式会社 ロードポート装置
US9257320B2 (en) 2013-06-05 2016-02-09 GlobalFoundries, Inc. Wafer carrier purge apparatuses, automated mechanical handling systems including the same, and methods of handling a wafer carrier during integrated circuit fabrication
US9411332B2 (en) * 2014-02-14 2016-08-09 GlobalFoundries, Inc. Automated mechanical handling systems for integrated circuit fabrication, system computers programmed for use therein, and methods of handling a wafer carrier having an inlet port and an outlet port
JP6232349B2 (ja) 2014-07-31 2017-11-15 東芝メモリ株式会社 基板収納容器および基板収納容器載置台
JP2017518626A (ja) * 2015-02-17 2017-07-06 ソーラーシティ コーポレーション 太陽電池の製造歩留まりを向上させる方法及びシステム
JP6459682B2 (ja) 2015-03-20 2019-01-30 Tdk株式会社 ガスパージ装置、ロードポート装置およびガスパージ方法
JP6554872B2 (ja) 2015-03-31 2019-08-07 Tdk株式会社 ガスパージ装置、ロードポート装置、パージ対象容器の設置台およびガスパージ方法
JP6451453B2 (ja) 2015-03-31 2019-01-16 Tdk株式会社 ガスパージ装置、ロードポート装置、パージ対象容器の設置台およびガスパージ方法
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
KR101852323B1 (ko) * 2016-07-05 2018-04-26 로체 시스템즈(주) 퍼지 모듈 지그 및 이를 포함한 퍼지 모듈
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
JP6347301B2 (ja) * 2017-04-06 2018-06-27 シンフォニアテクノロジー株式会社 ロードポート及びノズル駆動ユニット
JP6614278B2 (ja) * 2018-05-24 2019-12-04 シンフォニアテクノロジー株式会社 容器パージ装置
JP6889383B2 (ja) * 2018-05-24 2021-06-18 シンフォニアテクノロジー株式会社 容器パージ装置
KR20200022682A (ko) * 2018-08-23 2020-03-04 세메스 주식회사 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR102012389B1 (ko) * 2019-04-03 2019-08-20 (주)에이이 로드 포트용 퍼지노즐 모듈
JP6882698B2 (ja) * 2019-04-24 2021-06-02 シンフォニアテクノロジー株式会社 パージノズルユニット、ロードポート
US11685055B2 (en) * 2020-04-28 2023-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Robot gripper for moving wafer carriers and packing materials and method of operating the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2525284B2 (ja) * 1990-10-22 1996-08-14 ティーディーケイ株式会社 クリ―ン搬送方法及び装置
ES2229247T3 (es) * 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3417821B2 (ja) * 1997-11-17 2003-06-16 ティーディーケイ株式会社 クリーンボックス、クリーン搬送方法及び装置
JPH11214479A (ja) * 1998-01-23 1999-08-06 Tokyo Electron Ltd 基板処理装置及びその方法並びに基板搬送装置
JPH11288991A (ja) * 1998-04-03 1999-10-19 Shinko Electric Co Ltd ロードポート
JP3193026B2 (ja) * 1999-11-25 2001-07-30 株式会社半導体先端テクノロジーズ 基板処理装置のロードポートシステム及び基板の処理方法
US6135168A (en) * 1999-12-22 2000-10-24 Industrial Technology Research Institute Standard mechanical interface wafer pod gas filling system
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6592318B2 (en) * 2001-07-13 2003-07-15 Asm America, Inc. Docking cart with integrated load port

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9978623B2 (en) 2007-05-09 2018-05-22 Brooks Automation, Inc. Side opening unified pod
US11201070B2 (en) 2007-05-17 2021-12-14 Brooks Automation, Inc. Side opening unified pod
KR20170137239A (ko) * 2016-06-02 2017-12-13 세메스 주식회사 기판 처리 장치 및 방법
KR20190117551A (ko) * 2017-02-22 2019-10-16 도쿄엘렉트론가부시키가이샤 기판 수납 처리 장치, 기판 수납 처리 방법 및 기록 매체

Also Published As

Publication number Publication date
JP2003060007A (ja) 2003-02-28
US6808352B2 (en) 2004-10-26
US20030049101A1 (en) 2003-03-13
JP3697478B2 (ja) 2005-09-21

Similar Documents

Publication Publication Date Title
KR20030016165A (ko) 기판 이송 방법 및 로드 포트 장치와 기판 이송 시스템
US6817822B2 (en) Load port, wafer processing apparatus, and method of replacing atmosphere
TWI688034B (zh) 裝載埠及裝載埠的氣氛置換方法
KR101704341B1 (ko) 가스 주입 장치, 가스 배출 장치, 가스 주입 방법 및 가스 배출 방법
EP0565001B1 (en) Closed container to be used in a clean room
TWI681915B (zh) 裝載埠
KR100303075B1 (ko) 집적회로 웨이퍼 이송 방법 및 장치
US6955197B2 (en) Substrate carrier having door latching and substrate clamping mechanisms
CN107924861B (zh) 气体注入装置
JP5273245B2 (ja) パージ装置およびパージ方法
US11404297B2 (en) Systems, apparatus, and methods for an improved load port
US20010005476A1 (en) Processing method and processing unit for substrate
US20050111935A1 (en) Apparatus and method for improved wafer transport ambient
US20090297298A1 (en) Contained object transfer system
JPH11150178A (ja) クリーンボックス、クリーン搬送方法及び装置
WO1992007759A1 (en) Method and apparatus for transferring articles between two controlled environments
JP2020510320A (ja) 電子デバイス製造装置、システム、及び方法における負荷ポート動作
KR20190122161A (ko) 배기 노즐 유닛, 로드 포트 및 efem
US20080056861A1 (en) Processing apparatus and processing method
CN107949905B (zh) 喷嘴单元
US20080035237A1 (en) Gas filling facility for photomask pod or the like
JP2010027810A (ja) 真空搬送装置
JP3347812B2 (ja) 真空容器並びに該真空容器を用いた真空処理方法
JP3084825B2 (ja) 機械式インターフェース装置
KR20050032825A (ko) 반도체 소자 제조 설비 및 방법 그리고 이에 사용되는스토커

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application