KR20010039859A - 연속 플루오르 및 수소 플라즈마에 의한 콘택 세척 - Google Patents

연속 플루오르 및 수소 플라즈마에 의한 콘택 세척 Download PDF

Info

Publication number
KR20010039859A
KR20010039859A KR1020000051594A KR20000051594A KR20010039859A KR 20010039859 A KR20010039859 A KR 20010039859A KR 1020000051594 A KR1020000051594 A KR 1020000051594A KR 20000051594 A KR20000051594 A KR 20000051594A KR 20010039859 A KR20010039859 A KR 20010039859A
Authority
KR
South Korea
Prior art keywords
product
metal
exposing
semiconductor
atmosphere
Prior art date
Application number
KR1020000051594A
Other languages
English (en)
Inventor
바니엠. 코헨
진강 수
케니킹-타이 느간
쥬니어-지안 첸
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010039859A publication Critical patent/KR20010039859A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 전자 장치의 기판상의 반도체 또는 금속 접촉 영역을 세정하는 방법에 관한 것이다. 가장 먼저, 접촉 영역은 불소-함유 기체를 포함하는 플라즈마에 기판을 노출시킴으로써 세정된다. 다음으로, 기판이 불소를 배출하는, 바람직하게는 수소-함유 기체의 플라즈마 분해에 의해 생성된 제 2 분위기에 노출된다. 제 2 분위기는 접촉 영역상에 남아 있는 여분의 불소를 제거하고, 세정 공정내 아르곤 스퍼터링을 필요로 하지 않는다. 본 발명의 다른 특징은 기판상의 반도체 영역의 접촉 영역 상부에 내화성 금속을 증착하는 방법에 관한 것이다. 접촉 영역은 상술된 2-단계 공정에 따라 세정된다. 다음으로, 내화성 금속이 접촉 영역 상부에 증착된다. 2-단계 세정 공정은 내화성 금속과 반도체 영역 사이의 전기저항을 감소시킬 수 있다. 더욱이, 기판이 반도체 재료 및 내화성 금속의 원자를 내부확산시키도록 어닐링된다면, 2-단계 세정 공정은 원하는 낮은 전기저항을 달성하기 위해 필요한 어닐링 온도를 감소시킬 수 있다.

Description

연속 플루오르 및 수소 플라즈마에 의한 콘택 세척 {CLEANING CONTACT WITH SUCCESSIVE FLUORINE AND HYDROGEN PLASMAS}
본 발명은 콘택 영역에 금속 플러그를 증착하기 전에 반도체 또는 금속층상의 콘택 영역으로부터 원래 산화물을 제거하는 방법에 관한 것이다. 특히, 본 발명은 플루오르 함유 플라즈마를 이용하여 콘택 영역을 세척하는 단계 및 수소 함유 플라즈마에 콘택 영역을 노출시킴으로써 플루오르 잔류물을 제거하는 단계를 포함하는 상기와 같은 방법에 관한 것이다.
집적회로 및 그외의 전자 디바이스 제조시 공통 처리 시퀀스는 기판상의 반도체 또는 금속 영역위에 유전체층을 증착하고, 다음에 개구부가 반도체 또는 금속 영역상의 콘택 영역을 노출시키도록 유전체에 다수의 개구부를 에칭하고 그리고 다음에 콘택 영역과 전기적으로 접촉하도록 각각의 개구부에 금속 플러그를 증착하는 것이다. 개구부가 형성되기 전에 기판이 대기 분위기 또는 산소 소스에 노출되면, 각각의 개구부에 노출된 반도체 또는 금속층의 표면은 산화될 것이다. 이러한 원래 산화물은 플러그와 콘택 영역사이에 양호한 전기 접촉을 얻기 위하여 금속 플러그가 증착되기 전에 제거되거나 또는 "세척"되어야 한다.
본 발명은 상기한 문제점을 해결하는 것을 목적으로 한다.
도 1은 본 발명의 공정이 수행될수있는 기판의 단면도.
도 2는 본 발명에 따른 사전세척 및 금속 증착 처리의 흐름도.
도 3은 본 발명의 세척 공정을 수행하기에 적당한 플라즈마 챔버의 개략적인 부분 단면도.
도 4는 금속 플러그가 실리콘 지역의 노출된 접촉 영역상에 증착된 기판의 단면도.
도 5는 세척 및 금속 증착을 수행하기 위한 다중 챔버 시스템의 개략적인 부분 평면도.
*도면의 주요 부분에 대한 부호의 설명*
10: 기판 12, 17: 반도체 또는 금속 영역
14: 유전체 층 16: 개구부
도 1은 본 발명의 공정이 수행될수있는 통상적인 반도체 제품 또는 기판(10)을 도시한다. 통상적으로 상기 기판은 집적 회로가 형성될 실리콘 웨이퍼 또는 전자 비디오 디스플레이 장치 및 회로가 형성될 유리 기판이다. 상기 기판은 다음 모든 실시예에서 실리콘 웨이퍼로서 도시된다.
기판은 반도체 또는 금속 재료의 하나 이상의 지역(12)을 포함한다. 유전체 층(14)은 반도체 또는 금속 지역 위에 놓인다. 유전체(14)에는 각각의 개구부가 반도체중 하나 또는 금속 지역(12)을 노출시키도록 다수의 개구부(16)가 패턴화되고, 이 영역(17)은 반도체 또는 금속 지역의 "접촉 영역" 또는 "노출된 영역"이라 불린다. (상기 접촉 영역 또는 노출 영역은 비록 노출된 표면이 대기압에서 산소에 대해 금속을 노출시킴으로써 고유의 얇은 산화물층에 의해 커버될지라도 "노출된" 유전체(14)에 의해 커버되지 않는 모든 반도체 또는 금속 지역(12)을 말한다.)
통상적으로 각각의 개구부는 아래 놓인 반도체 또는 금속 지역(12)과 전기적으로 접촉되는 "플러그"를 형성하기 위하여 금속 재료로 채워진다. 플러그는 아래놓인 지역(12)이 각각 반도체 지역인지 금속 상호접속부인지에 따라 "접촉부" 또는 "비아"라 불린다.
기술된 개구부 및 층을 형성하기 위한 도시된 제품 및 처리 단계는 일반적인 것이다.
만약 개구부(16)가 형성된후 제품이 산소에 노출되면, 각각의 접촉 영역(17)의 노출 표면은 얇은 "고유 산화물"(18) 층을 형성하도록 산화할 것이다. 상기 산소에 대한 노출은 유전체내의 개구부를 에칭한후 포토레지스트를 제거하기 위하여 "애슁(ashing)" 공정에서 발생할 수 있거나, 만약 제품이 두개의 처리 챔버 사이에서 이동되는 동안 대기압에 노출되면 발생할 수 있다. 이런 고유 산화물은 플러그 및 접촉 영역 사이에 우수한 전기 접촉을 달성하기 위하여 금속 플러그를 증착하기전에 제거 또는 "세척"되어야 한다.
본 발명에서, 접촉 영역은 적어도 2 단계를 포함하는 공정에 의해 세정된다. 제 1 단계에서, 천연(native) 산화막(18)은 적어도 하나의 불소 함유 기체(도 2의 단계 101)의 플라즈마 분해(decomposition)에 의해 형성된 제 1 대기(atmosphere)에 기판을 노출시킴으로써 제거된다. 이 단계는 그 다음에 증착된 플러그의 성능, 예를 들어 전기 저항성을 떨어뜨릴 수 있는 접촉 개부(16)에 불소 잔류물을 남길 수 있다. 따라서, 본 발명은 기판을 불소(단계 102)를 제거(scavenge)하는 제 2 대기에 노출시키는 다음 단계를 더 포함한다. "제거"에 의해, 제 2 대기는 불소와 결합하여 휘발성 혼합물을 형성하는 종(species)을 포함한다. 이러한 휘발성 혼합물은 배기 단자(30)에 연결된 배기 펌프에 의해 공정 챔버로부터 제거되며, 이에 의해 접촉부로부터 또는 개구(16)를 통해 불소 잔류물이 제거된다.
제 1 단계(단계 101)에서 사용된 불소 함유 플라즈마는 하나 이상의 불소 함유 기체, 예를 들어 NF3, C2F6, 또는 SF6를 일반적인 플라즈마 챔버에 공급함으로써 형성될 수 있다. 선택적으로, 헬륨과 같은 캐리어 기체가 플라즈마 챔버에 공급될 수 있다. 다수의 기체 분자를 분리하기 위하여, 전자기 전원은 기체를 플라즈마 상태로 여기시킨다. 캐리어 기체는 불소 함유 기체의 분리가 증대되는 것이 바람직하다. 하기되는 바와 같이, 10%의 NF3및 90%의 He 기체를 공급하여 실리콘 영역으로부터 천연 산화막이 세정되었다.
(본 명세서를 통틀어서, 기체 혼합물에서 각 구성 기체의 퍼센트 농도는 분자 몰 농도에 따른 퍼센트로서 표현되며, 분자 몰 농도는 체적에 따른 퍼센트 농도와 같다. "기체 혼합물"은 플라즈마 챔버에 공급된 하나 이상의 기체를 가리키며; "혼합물"은 하나 이상의 타입의 기체 종이 포함되는 것을 가리킨다)
기판의 스퍼터링 손상을 방지하기 위하여, 제품 위치에서 전계를 최소화하는 방법에 의해 플라즈마를 형성하는 것이 바람직하다.
플라즈마를 형성하는 하나의 적절한 방법이 통상적인 원격 플라즈마 원격 플라즈마 소스에서 사용되는데, 이것은 플라즈마가 제품을 수용하는 진공 챔버로부터 분리된 챔버에서 형성되거나, 또는 플라즈마 바디가 제품으로부터 상당한 거리를 갖도록 공동 챔버의 분리된 영역에서 형성되는 것을 의미한다. 둘 중 하나의 경우에, 배기 펌프는 플라즈마에서 기체의 분리에 의해 형성된 라디칼 및 이온이 플라즈마 바디에서 제품으로 이동하게 한다. 원격 플라즈마 소스를 가지는 일반적인 공정 챔버는 공동 양도된 쿡(Cook) 등의 미국 특허 5,346,579 및 모리타(Morita)의 5,543,688에서 기술되며, 이 특허건들 각각의 전체 내용은 이 특허 명세서를 참조로 결합된다.
선택적으로, 제품의 스퍼터링 손상을 방지하기 위하여, 본 발명에서 플라즈마를 형성하는 방법은 유도적으로 결합된 플라즈마 소스를 가지는 플라즈마 챔버, 예를 들어, 도 3에서 도시된 상업적으로 이용 가능한 선세정(preclean) 챔버(20)에서 사용된다.
챔버의 상부는 유전 물질로 구성된 상부벽(22)에 의해 경계지어지고, 챔버의 하부는 유전 물질 또는 도전 물질 중 어느 하나, 일반적으로 알루미늄으로 구성된 하부벽(23)에 의해 경계지어진다. 챔버 기체에 노출되는 서셉터의 표면 전체 - 즉, 기판에 의해 커버되지 않는 표면 전체 - 는 유전체(37)에 의해 커버된다. 상부벽(22) 및 서셉터 라이너(37)에 사용되는 유전 물질은 바람직하게는 공정에서 사용된 불소 함유 종에 의한 에칭에 견뎌야 한다. 적합한 유전 물질은 알루미나 세라믹이다.
전술된 불소 함유 기체 혼합물은 흐름 제어기(26), 일반적으로 매스 흐름 제어기에 의해 조절된 흐름 속도로 기체 공급 탱크(24)로부터 흐르고, 이 때 하나 이상의 기체 입구 포트(28)를 통해서 챔버의 상부로 주입된다. 배기 펌프(도시되지 않음)는 배기 포트(30)를 통해서 챔버 기체를 배출하고 챔버 압력을 조절한다. RF 전력원(32)은 유전체 상부 챔버 벽(22)을 둘러싸는 유도 코일(34)에 RF 전력을 공급한다. 기체를 플라즈마 상태로 여기시키기 위하여, 전력은 챔버의 상부에서 코일로부터 기체에 유도적으로 결합된다.
기판(10)은 일반적으로, 알루미늄으로구성된, 받침대(pedestal) 또는 서셉터(36)상에 챔버의 하부에 장착된다. 바람직하게, 바이어스 전력원이라고도 불리는 제 2 RF 전력원(38)은 서셉터에 RF 전력을 공급하도록 연결된다. 이것은 플라즈마에서 서섭테로의 이온의 흐름을 증가시킬 서섭테 상의 마이너스 DC 바이어스 전압을 발생시킬 것이다. 그러나, 일부 애플리케이션에서, 목표된 세정 속도는 마이너스 바이어스 전압없이 플라즈마에서 기판으로의 불소 함유 라디칼 및 이온의 확산에 의해 달성될 수 있다. 이 경우에, 바이어스 전력원(38)은 제거될 수 있다. 전술된 챔버 하드웨어 컴포넌트 전체가 일반적이다.
다양한 분리된 불소 함유 종, 예를 들어 NFX및 F 라디칼 및 이온은 플라즈마에서 기판으로 이동한다. 이 불소 함유 종은 배기 펌프에 의해 챔버로부터 배출되는 SiF4와 같은 휘발성 혼합물을 형성하도록 천연 산화막(18)과 반응하며, 이에 의해 반도체의 접촉 영역(17) 또는 금속 영역(12)의 표면에서 천연 산화막(18)을 제거한다.
천연 산화막(18)이 완전히 제거된 후에, 흐름 제어기(26)는 챔버에 불소 함유 기체의 공급을 차단한다. 이 때, 본 발명은 접촉부로부터 또는 개구(16)를 통해서 불소 잔류물을 벗기거나 또는 "제거하도록" 제 2 대기를 챔버에 공급한다. 이 제거 단계는 바람직하게는 불소 세정 단계를 수행하기 위해 사용된 동일한 플라즈마 챔버에서 수행된다.
"제거"는 제 2 대기가 휘발성인 혼합물을 형성하도록 불소와 결합하는 종을 포함하는 것을 의미한다. "휘발성 혼합물"은 챔버 내의 대기 온도 및 압력에서 증발되는 혼합물을 의미한다. 이러한 휘발성 혼합물은 배기 포트(30)에 연결된 배기 펌프에 의해 공정 챔버로부터 제거되고, 이에 의해 접촉부로부터 또는 개구(16)를 통해 불소 잔류물을 제거한다.
제 2 대기는 바람직하게는 적어도 하나의 수소 함유 기체의 플라즈마 분리에 의해 형성된다. 수소 라디칼 및 이온은 HF와 같은 휘발성 혼합물을 형성함으로써 불소를 제거한다. 본 발명의 바람직한 수소 함유 기체는 H2(수소 기체) 이지만, NH3(암모니아) 및 SiH4(실란)은 대안으로 가능하다.
수소 기체는 일반적으로 헬륨과 같은 캐리어 기체와 혼합된다. 더 높은 퍼센트의 수소가 사용될 수 있지만, 더 높은 농도의 수소가 가연성이고 따라서 추가의 안전 예방 조치가 필요하기 때문에, 5%의 H2및 95%의 He이 바람직한 혼합물이 다.
불소 플라즈마 세정 단계(도 2, 단계 101) 및 수소 플라즈마 제거 단계(단계 102) 모두에서, 헬륨 이온이 아주 가벼워서 유전체(14)에 거의 또는 전혀 스퍼터링 손상이 가해지지 않기 때문에, 헬륨이 바람직한 캐리어 기체이다. 이것은 유기체 재료로 구성된 것과 같은 특히, 손상 입기 쉬운 이런 타입의 유전체에 있어서 중요하다.
아르곤과 같은 큰 원자 질량을 가지는 원자종으로 구성된 캐리어 개스는 헬륨대신 유전체가 스퍼터링 손상에 민감하지 않은 응용에 이용될 수 있다. 그러나 상기 처리의 장점은 스퍼터링이 필요하지 않으며 이에 따라 헬륨보다 무거운 원자종을 가지는 캐리어 개스가 필요하지 않다는 것이다.
제 2 대기(바람직하게 플라즈마를 포함하는 하이드로겐으로 구성된)는 접촉부 또는 비아 오프닝(16;via openings)로부터의 모든 플루오르 잔여물을 제거하는데 충분히 긴 시간동안 유지된다. 1분이면 충분하다.
하이드로겐-헬륨 가스 혼합물은 제 2 흐름 제어기(42)에 의해 조절되는 흐름율에서 제 2 개스 공급 탱크(40)에 의해 공급된 후, 상술한 개스 주입 포트(28)를 통해 챔버의 상부로 주입된다. 매스터 제어기(44), 바람직하게 마이크로컴퓨터와 같은 통상의 프로그램 가능한 제어기는 두개의 흐름 제어기(26,42)의 흐름율, 두개의 RF 전력 공급에 대한 전력 출력 레벨 및 챔버 압력을 조절하는 스로틀 밸브(도시되지 않음)를 제어한다. 매스터 제어기는 바람직하게 휴먼 오퍼레이터가 상술한 여러 처리 파라미터를 조절할 수 있도록 프로그램된다.
다음으로 기판이 통상적인 금속 증착 챔버로 이송되어 반도체 또는 금속 영역(12)의 노출 접촉 영역(17)과 전기 접촉을 일으키기 위해 금속(50;도4)이 오프닝(16;도1)에 증착될 수 있다. 만일 상기의 영역(12)이 금속이라면, 어떤 중간 단계(단계 103-105)없이 알루미늄, 텅스텐 또는 구리(도2, 단계106)와 같은 원하는 금속(50)으로 각각의 플러그 또는 비아 오프닝(16)을 손쉽게 충진할 수 있다.
선택적으로 오프닝(16)을 충진하기 위해 금속(50)을 증착하기 전에, 만일 금속(50)이 오프닝(16)을 충진하는 동안 유전체(14)의 측벽으로부터 떨어진다면 생성될 수 있는 플러그의 보이드의 형성을 방해하는 습윤층(wetting layer) 또는 접착층(52;도4)을 개구부의 측벽에 증착하는 통상적인 추가 단계(도2, 단계105)가 제공된다. 습윤층은 전형적으로 티타늄 질화물 또는 티타늄-텅스텐 합금과 같은 티타늄 또는 티타늄 함유 화합물 또는 그 합금으로 구성된다. 습윤층을 증착하는 처리 과정은 Ong의 미국 특허 번호 5,371,042;Nulman의 5,443,995;Yao의 5,911,113;에 개시되어 있으며 그 각각의 전체 내용은 상기의 명세서에서 상호 참조된다.
만일 반도체로의 금속(50)의 확산을 방지하기 위해 상기 영역(12)이 실리콘 또는 다른 반도체라면, 종종 플러그 금속(50)을 증착하기(단계106) 전에 반도체(12)위에 "확산 배리어층"(54)을 증착(단계103)할 필요가 있다. 배리어층은 종종 티타늄 질화물이다. 배리어층은 종종 배리어 필름의 다공성을 최소로 하기위해 어닐링된다(단계104).
또한, 오프닝의 나머지를 채우는 금속(50)과 반도체 재료(12) 사이에서 충분한 전기 접촉을 이루기 위해 반도체 재료와 내열성 재료의 화합물로 구성된 "컨택층"이 반도체 영역(12)에 직접적으로 형성될 필요가 있다. 실리콘의 경우, 화합물은 내열성 금속 실리사이드일 수 있다. 실리콘 웨이퍼 제조시, 내화성 금속 실리사이드층(52)은 통상적으로 티타늄, 몰리브데늄 또는 텅스텐과 같은 내화성 금속으로 이루어진 실리콘 컨택 영역위에 증착된 후(도 2, 단계103), 실리콘 영역(12)의 실리콘 원자가 내화성 금속 실리사이드로 이루어진 얇은층을 형성하기 위해 내화성금속으로 확산되기에 충분히 높은 온도에서 기판을 어닐링한다(단계104).
티타늄 실리사이드층에 대한 처리 과정은 Chen의 미국 특허번호 5,525,543 호와 Ngan의 미국 특허번호 5,378,660 호에 개시되어 있으며, 상기 각각의 내용들은 본 명세서에서 상호 참조된다. 확산 배리어를 증착하는 처리과정은 Ong, Nulman 및 Ngan의 상술한 특허와 Gilboa의 미국 특허번호 5,108,569에 개시되어 있으며, 전체 내용은 본 명세서에서 상호 참조된다. Ngan의 특허는 티타늄 실리사이드 컨택층을 동시에 형성하기 위해 질소 대기에서 티타늄으로 이루어진 층을 어닐링하는 처리과정이 개시되어 있으며, 상기의 티타늄 컨택층에서는 티타늄이 티타늄의 상부면이 질소에 노출되는 티타늄 질화물 배리어층과 하부의 실리콘과 접촉한다.
도 4는 오프닝(16)의 하부에서의(측벽은 아님) 배리어층(54)과 컨택층(56)을 도시한다. 반대로 도 4는 측벽(오프닝의 하부는 아님)의 습윤층(52)을 도시한다. 실제로, 컨택층과 배리어층의 재료는 불가피하게 측벽에 증착될 것이며, 습윤층의 재료는 오프닝의 하부에 증착될 것이다. 실제로, 티타늄 질화물과 같은 재료는 만일 오프닝의 측면과 하부 모두에 증착된다면 습윤층(52)과 배리어층(54)으로서 기능할 수 있다.
내열성 금속 실리사이드를 형성하는 통상적인 처리과정에 대한 한가지의 중요한 결점은 고온의 어닐링 온도(단계104)가 충분히 낮은 전기저항을 가진 실리콘 영역(12)과 금속 플러그(52) 사이에서 전기 접촉을 달성할 필요가 있다는 것이다. 본 발명의 프리클리닝 처리-하이드로겐 플라즈마(단계102)는 이전의 플루오르 플라즈마 클리닝 단계(단계101)의 플루오르 잔여물을 소거한다-는 원하는 값으로 전기 저항을 감소시키는데 필요한 어닐링 온도를 감소시킨다(단계104). 하이드로겐 플라즈마 소거 단계(단계102)가 부족한 통상적인 처리과정에 대한 본 발명의 장점은 이하의 예로서 설명될 것이다.
본 발명의 클리닝 처리(단계101,102)와 이후의 금속 증착 및 어닐링 처리과정(단계103-106)은 바람직하게 기판이 일 처리 챔버로부터 다른 처리 챔버로 전송되지만 오염원이 없는 진공 환경에 남게되도록 통합된 멀티 챔버 처리 시스템에서 이루어진다. 도 5는 본 발명의 양수인으로부터 통상적으로 이용될 수 있는 적당한 멀티 챔버 시스템을 도시한다. 중앙 제어기 또는 마이크로 컴퓨터(44)는 시스템의 모든 성분을 제어한다. 상술한 바와 같이, 클리닝 처리과정(단계101,102)은 제어기(44)에 의해 제어되는 가스흐름율과 다른 처리 파라미터를 가진 통상적인 프리클리닝챔버(20)에서 실시된다. 다음으로 제어기는 로보트(60)에게 기판을 프리클리닝 챔버로부터 스퍼터 증착 챔버(62)와 같은 금속 증착 챔버로 이송하도록 명령한다. 컨택층(56) 및/또는 배리어층(54)은 제어기(44)에 의해 조절되는 처리 파라미터하에서 상기 챔버에서 증착된다(단계103). 다음으로 제어기는 로보트(60,64)에게 기판을 고온의 어닐링 챔버(66)에 이송하도록 명령하며, 제어기는 원하는 어닐링 시간과 온도에서 기판을 홀딩한다(단계104). 다음으로 제어기는 플러그 또는 비아의 나머지를 채우는 금속(50) 증착(단계106)과 습윤층의 증착(단계105)을 위해 로보트(60,64)에게 기판을 동일한 금속 증착 챔버(62) 또는 다른 금속 증착 챔버(68)로 다시 이송하도록 명령한다. 최종적으로 제어기는 기판이 진공 시스템으로부터 외부 저장 카세트로 이송될 수 있는 곳에서 로드 로크 챔버(70)로 기판을 이송하도록 로보트(60,64)에게 명령한다.
우리는 실리콘 위로 티타늄을 증착하기 전에 실리콘 박막을 세정하는 실예에서 우리의 세정 공정을 다른 세 세정 공정과 비교했다. 티타늄과 실리콘 사이의 경계에서 티타늄 규화물을 생성하기 위해 기판이 어닐링 된 후, 티타늄과 실리콘 박막 사이의 시트 저항(sheet resistance)을 측정함으로써 세정 공정의 효과를 측정했다.
저항력 측정을 단순화하기 위해, 기판 상에 어떤 유전체도 증착하지 않았다. 대신에, 천연 산화물 외부 층을 생성하기 위해 주위 환경에 드러난 노출된 실리콘(bare silicon)을 간단히 사용했다. 천연 산화물을 제거하기 위한 시도에서 네 세정 공정 중 하나를 실행한 후, 통상적인 스퍼터 증착 공정을 사용하여 기판 위에 150 Å 의 티타늄을 증착시켰다. 이어 티타늄 규화물을 형성시키기 위해 티타늄으로 실리콘 원자의 확산을 증진하도록 20초 동안 기판을 어닐링했다. 다른 기판은 비교를 위해 600℃ 내지 650℃의 온도에서 어닐링되었다. 결국 단위 스퀘어당 저항으로 티타늄 박막과 실리콘 기판 사이의 시트 저항을 측정했다. 테스트 결과는 표 1에 도시된다.
표 1에서, "불화 수소 습식 침수(HF wet dip)"는 액체 불화 수소산(liquid hydrofluoric acid)에 기판을 침수시키는 공정을 나타낸다. 비록 습식 세정은 기판을 주위 환경으로 부터의 오염물에 드러내므로 바람직하지 않지만, 불화 수소 습식 세정은 다른 세정 방법과 비교되는 레퍼런스로 간주된다.
표 1에서, "불화 질소(NF3)"는 흐름비 50 sccm 으로 10% 불화 질소 및 90% 헬륨의 가스를 공급하여 도 3에 도시된 챔버에서 수행되는 플라즈마 세정 공정을 나타낸다. 챔버 압력은 50 mT 이며, 유도 코일로의 라디오 주파수(RF) 파워는 2 MHz 의 주파수에서 300 watt 이며, 서셉터(susceptor)로의 RF 파워(바이어스 파워(bias power))는 13.56 MHz 에서 300 watt 이었다. 공정은 60초 동안 수행되었다.
"아르곤 스퍼터(Ar sputter)"는 불화 질소/헬륨 플라즈마 세정 공정에서 남은 불소 잔류물을 제거하기 위한 세정 공정을 나타낸다. 아르곤 가스는 50 sccm의 흐름비로 챔버에 공급되었다. 챔버 압력은 0.6 mT 이었다; 유도 코일 및 서셉터로의 RF 파워는 각각 2 MHz 및 13.56 MHz 에서 100 watt 이다; 그리고 공정은 60초 동안 수행되었다.
H2는 불화 질소/수소 플라즈마 세정 공정에서 남은 불소 잔류물을 제거하기 위한 수소­함유 플라즈마 세정 공정을 나타낸다. 도 3에 도시된 챔버를 사용할 때, 5% 수소 및 95% 헬륨 가스가 100 sccm 의 흐름비로 공급되었다. 챔버 압력은 80 mT 이었다; 유도 코일 및 서셉터로의 RF 파워는 각각 2 MHz 및 13.56 MHz 에서 300 watt 이다; 그리고 공정은 60초 동안 수행되었다.
표 1 - 상이한 세정 방법 및 어닐링 온도 후의 시트 저항
클리닝 방법 600℃ 어닐링 675℃ 어닐링
1.불화 질소 후 수소(본 발명) 33 20
2.없음 101 34
3.불화 질소 만 123 31
4.불화 질소 후 아르곤 스퍼터 91 19.5
5.불화 질소 습식 침수 37 30
최악의 결과(가장 높은 저항)는 천연 산화물이 전혀 제거되지 않았을 경우 및 불소 잔류물을 제거하기 위한 어떠한 후속 단계도 없이 천연 산화물이 오직 불화 질소 플라즈마 스텝으로 세정된 경우였다. 어닐링이 675℃에서 수행된 경우, 결과는 아르곤 스퍼터링이나 수소 플라즈마 스퍼터링이나 동등하게 좋았다. 그러나, 어닐링이 600℃ 에서 수행된 경우, 불소 잔류물을 제거하기 위해 수소 플라즈마를 사용한 본 발명의 공정은 불소 잔류물을 제거하기 위해 아르곤 스퍼터링 하는 경우 보다 거의 3배나 좋은 시트 저항(단위 스퀘어당 33 오옴, 33ohms/square)을 갖게 되었다. 더욱이, 본 발명의 공정은 600℃ 어닐링 후의 시트 저항이 675℃ 어닐링 후의 저항과 거의 같게 되었다.
상기 테스트의 결과는 본 발명의 공정이 테스트 된 다른 공정에 비해 적어도 3배 이상의 잇점을 갖는 다는 것을 보여준다. 제 1 잇점은 본 발명의 공정이 내화 금속과 하부의 반도체 사이의 전기 저항을 감소시키는 것이다. 제 2 잇점은, 본 발명의 공정은 어닐링이 접촉 저항 면에서 보다 적은 불이익을 갖고 낮은 온도에서 수행될 수 있게 하는 것이다. 많은 적용에서, 어닐링 온도를 줄이는 능력은 접촉부 중 유전체 측벽으로 금속 원자의 확산을 최소화하기 위해 유용하다.
제 3의 잇점은, 본 발명의 공정은 아르곤 스퍼터링 정도만큼 낮은 또는 그 보다 더 낮은 전기 저항을 얻을 수 있으며, 그로 인해 유전체가 아르곤 같은 무거운 원자로 스퍼터링 함으로써 손상 받을 위험에 직면 할 필요를 제거한다. 사실은, 유전체에 대한 그러한 스퍼터링 손상을 피하기 위해, 본 발명의 공정에 사용되는 혼합 가스는 아르곤 보다 더 큰 원자 질량을 갖는 염화 붕소(BCl3), 아르곤 및 소정의 원소의 소정의 화합물을 제외한다.
본 발명에 따르면, 내화 금속과 하부의 반도체 사이의 전기 저항을 감소되며, 어닐링이 접촉 저항 면에서 보다 적은 불이익을 갖고 낮은 온도에서 수행될 수 있고, 아르곤 스퍼터링 정도만큼 낮은 또는 그 보다 더 낮은 전기 저항을 얻을 수 있으며, 그로 인해 유전체가 아르곤 같은 무거운 원자로 스퍼터링 함으로써 손상되지 않는 방법이 제공된다.

Claims (29)

  1. 반도체 제품상의 금속 접촉 영역 또는 반도체 영역을 세정하는 방법에 있어서,
    노출된 접촉 영역을 가진 금속 영역 또는 반도체 영역중 적어도 하나를 구비하는 반도체 제품을 제공하는 단계;
    불소-함유 기체를 포함하는 제 1 기체 혼합물의 플라즈마 분해에 의해 생성된 제 1 분위기에 상기 제품을 노출시키는 단계; 및
    상기 제 1 분위기에 상기 제품을 노출시키는 단계 이후, 상기 불소를 배출(scavenge)하는 제 2 분위기에 상기 제품을 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 반도체 제품상의 금속 접촉 영역 또는 반도체 영역을 세정하는 방법에 있어서,
    노출된 접촉 영역을 가진 금속 영역 또는 반도체 영역중 적어도 하나를 구비하는 반도체 제품을 제공하는 단계;
    불소-함유 기체를 포함하는 제 1 기체 혼합물의 플라즈마 분해에 의해 생성된 제 1 분위기에 상기 제품을 노출시키는 단계; 및
    상기 제 1 분위기에 상기 제품을 노출시키는 단계 이후, 수소-함유 기체를 포함하는 제 2 기체 혼합물의 플라즈마 분해에 의해 생성된 제 2 분위기에 상기 제품을 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  3. 제 2항에 있어서, 상기 제 2 분위기에 상기 제품을 노출시키는 단계는 상기 접촉 영역으로부터 모든 불소가 제거되기에 충분한 시간동안 지속되는 것을 특징으로 하는 방법.
  4. 제 2항에 있어서, 상기 수소-함유 기체는 H2인 것을 특징으로 하는 방법.
  5. 제 2항에 있어서, 상기 제 2 기체 혼합물은 헬륨을 더 함유하는 것을 특징으로 하는 방법.
  6. 제 2항에 있어서, 상기 제 2 기체 혼합물은 H2및 헬륨 기체로 구성되는 것을 특징으로 하는 방법.
  7. 제 2항에 있어서, 상기 제 1 분위기에 상기 제품을 노출시키는 단계는 상기 접촉 영역으로부터 모든 자연 산화물이 제거되기에 충분한 시간동안 지속되는 것을 특징으로 하는 방법.
  8. 제 2항에 있어서, 상기 제 1 기체 혼합물은 아르곤을 함유하지 않는 것을 특징으로 하는 방법.
  9. 제 2항에 있어서, 상기 제 1 기체 혼합물은 BCl3, 아르곤 또는 아르곤의 원자질량보다 큰 원자질량을 가진 원소로 구성된 화합물을 함유하지 않는 것을 특징으로 하는 방법.
  10. 제 2항에 있어서, 상기 제 1 기체 혼합물은 NF3, C2F6및 SF6으로부터 선택된 적어도 하나의 기체를 함유하는 것을 특징으로 하는 방법.
  11. 제 2항에 있어서, 상기 제 1 기체 혼합물은 헬륨을 더 함유하는 것을 특징으로 하는 방법.
  12. 제 2항에 있어서, 상기 금속 또는 반도체 재료는 티타늄인 것을 특징으로 하는 방법.
  13. 제 2항에 있어서, 상기 금속 또는 반도체 재료는 실리콘인 것을 특징으로 하는 방법.
  14. 제품상의 반도체 영역의 접촉 영역 상부에 금속을 증착하는 방법에 있어서,
    노출된 접촉 영역을 가진 반도체 영역중 적어도 하나를 구비하는 제품을 제공하는 단계;
    불소-함유 기체를 포함하는 제 1 기체 혼합물의 플라즈마 분해에 의해 생성된 제 1 분위기에 상기 제품을 노출시키는 단계;
    상기 제 1 분위기에 상기 제품을 노출시키는 단계 이후, 상기 불소를 배출(scavenge)하는 제 2 분위기에 상기 제품을 노출시키는 단계; 및
    상기 접촉 영역 상부에 상기 금속을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제품상의 반도체 영역의 접촉 영역 상부에 금속을 증착하는 방법에 있어서,
    노출된 접촉 영역을 가진 반도체 영역중 적어도 하나를 구비하는 제품을 제공하는 단계;
    불소-함유 기체를 포함하는 제 1 기체 혼합물의 플라즈마 분해에 의해 생성된 제 1 분위기에 상기 제품을 노출시키는 단계;
    상기 제 1 분위기에 상기 제품을 노출시키는 단계 이후, 수소-함유 기체를 함유하는 제 2 기체 혼합물의 플라즈마 분해에 의해 생성된 제 2 분위기에 상기 제품을 노출시키는 단계; 및
    상기 접촉 영역 상부에 상기 금속을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  16. 제 15항에 있어서, 상기 방법은
    반도체 재료 및 금속의 원자를 내부확산시키기에 충분한 온도 및 지속시간 동안 기판을 어닐링하는 단계를 더 포함하며,
    상기 금속은 내화성 금속인 것을 특징으로 하는 방법.
  17. 제 16항에 있어서, 상기 온도는 675℃ 미만인 것을 특징으로 하는 방법.
  18. 제 16항에 있어서, 상기 온도는 600℃ 이하인 것을 특징으로 하는 방법.
  19. 제 15항에 있어서, 상기 금속은 티타늄인 것을 특징으로 하는 방법.
  20. 제 15항에 있어서, 상기 반도체 재료는 실리콘인 것을 특징으로 하는 방법.
  21. 제 15항에 있어서, 상기 제품을 제 2 분위기에 노출시키는 단계는 상기 접촉 영역으로부터 모든 불소를 제거하기에 충분한 시간동안 지속되는 것을 특징으로 하는 방법.
  22. 제 15항에 있어서, 상기 수소-함유 기체는 H2인 것을 특징으로 하는 방법.
  23. 제 15항에 있어서, 상기 제 2 기체 혼합물은 헬륨을 더 함유하는 것을 특징으로 하는 방법.
  24. 제 15항에 있어서, 상기 제 2 기체 혼합물은 H2및 헬륨 기체로 구성되는 것을 특징으로 하는 방법.
  25. 제 15항에 있어서, 상기 제 1 기체 혼합물은 아르곤을 함유하지 않는 것을 특징으로 하는 방법.
  26. 제 15항에 있어서, 상기 제 1 기체 혼합물은 BCl3, 아르곤 또는 아르곤의 원자질량보다 큰 원자질량을 가진 원소로 구성된 화합물을 함유하지 않는 것을 특징으로 하는 방법.
  27. 제 15항에 있어서, 상기 제 1 분위기에 상기 제품을 노출시키는 단계는 상기 접촉 영역으로부터 모든 자연 산화물이 제거되기에 충분한 시간동안 지속되는 것을 특징으로 하는 방법.
  28. 제 15항에 있어서, 상기 제 1 기체 혼합물은 NF3, C2F6및 SF6으로부터 선택된 적어도 하나의 기체를 함유하는 것을 특징으로 하는 방법.
  29. 제 15항에 있어서, 상기 제 1 기체 혼합물은 헬륨을 더 함유하는 것을 특징으로 하는 방법.
KR1020000051594A 1999-09-03 2000-09-01 연속 플루오르 및 수소 플라즈마에 의한 콘택 세척 KR20010039859A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/390,135 US6313042B1 (en) 1999-09-03 1999-09-03 Cleaning contact with successive fluorine and hydrogen plasmas
US9/390,135 1999-09-03

Publications (1)

Publication Number Publication Date
KR20010039859A true KR20010039859A (ko) 2001-05-15

Family

ID=23541224

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000051594A KR20010039859A (ko) 1999-09-03 2000-09-01 연속 플루오르 및 수소 플라즈마에 의한 콘택 세척

Country Status (6)

Country Link
US (1) US6313042B1 (ko)
EP (1) EP1081754A3 (ko)
JP (1) JP2001144028A (ko)
KR (1) KR20010039859A (ko)
SG (1) SG91300A1 (ko)
TW (1) TW483103B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
KR20170042315A (ko) * 2014-08-12 2017-04-18 도쿄엘렉트론가부시키가이샤 기판 처리 방법

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
KR100360399B1 (ko) * 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6457477B1 (en) * 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
JP2003059897A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc 自然酸化膜除去方法
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
JP2004342632A (ja) * 2003-05-13 2004-12-02 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
WO2005072211A2 (en) * 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070082494A1 (en) * 2005-10-03 2007-04-12 United Microelectronics Corp. Method for forming silicide layer
US20070077720A1 (en) * 2005-10-04 2007-04-05 Infineon Technologies Ag Manufacturing method for an integrated semiconductor structure and corresponding integrated semiconductor structure
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2008139621A1 (ja) 2007-05-15 2008-11-20 Canon Anelva Corporation 半導体素子の製造方法
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP5006938B2 (ja) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN101740477B (zh) * 2008-11-11 2012-02-29 中芯国际集成电路制造(北京)有限公司 通孔及双镶嵌结构的形成方法
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5770740B2 (ja) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US8252679B2 (en) * 2010-02-10 2012-08-28 United Microelectronics Corp. Semiconductor process
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8263468B2 (en) 2010-04-24 2012-09-11 International Busienss Machines Corporation Thin body semiconductor devices
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6017936B2 (ja) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US9269562B2 (en) 2013-01-17 2016-02-23 Applied Materials, Inc. In situ chamber clean with inert hydrogen helium mixture during wafer process
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9972511B2 (en) * 2015-10-01 2018-05-15 Applied Materials, Inc. Substrate processing apparatus and methods
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JP2626913B2 (ja) * 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
AU3726593A (en) * 1992-02-26 1993-09-13 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JP3397505B2 (ja) * 1995-04-19 2003-04-14 株式会社東芝 半導体装置の製造方法
US5770263A (en) 1995-11-08 1998-06-23 Micron Technology, Inc. Method for in situ removal of particulate residues resulting from hydrofluoric acid cleaning treatments
KR19980064028A (ko) * 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
KR20170042315A (ko) * 2014-08-12 2017-04-18 도쿄엘렉트론가부시키가이샤 기판 처리 방법

Also Published As

Publication number Publication date
EP1081754A2 (en) 2001-03-07
US6313042B1 (en) 2001-11-06
TW483103B (en) 2002-04-11
EP1081754A3 (en) 2001-12-05
SG91300A1 (en) 2002-09-17
JP2001144028A (ja) 2001-05-25

Similar Documents

Publication Publication Date Title
US6313042B1 (en) Cleaning contact with successive fluorine and hydrogen plasmas
US6589890B2 (en) Precleaning process for metal plug that minimizes damage to low-κ dielectric
JP7190814B2 (ja) エアギャップの形成方法
KR100842463B1 (ko) 기판의 유전체층을 사전 세정하기 위한 방법
US7157351B2 (en) Ozone vapor clean method
US6638855B1 (en) Method of filling contact hole of semiconductor device
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7288284B2 (en) Post-cleaning chamber seasoning method
US7888217B2 (en) Method for fabricating a gate dielectric of a field effect transistor
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
EP0665583A2 (en) Method of etching titanium nitride and insulating oxide layers using a gas comprising carbon-fluoride and carbon-oxide.
EP1047123A2 (en) Method for cleaning high aspect ratio openings by reactive plasma etching
JP2022551922A (ja) 間隙充填堆積プロセス
KR20020040445A (ko) 플라즈마 전처리모듈을 구비한 장치에서의 반도체소자의제조방법
KR20040086384A (ko) 절연막 형성 방법
US6325861B1 (en) Method for etching and cleaning a substrate
KR20010099866A (ko) 수소계 예비 세정 기술을 이용한 불화 이산화규소와 확산장벽의 접착의 개량
KR100670618B1 (ko) 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정
KR20050049350A (ko) 반도체기판 표면의 클리닝 방법, 박막 제조방법,반도체장치의 제조방법 및 반도체장치
JPH0574763A (ja) ゲート絶縁膜の形成方法
JPH07235530A (ja) 絶縁膜の形成方法
KR20130022433A (ko) 애쉬-후 측벽 힐링
KR19990069348A (ko) 반도체 소자의 제조 방법
JPH04364731A (ja) エッチング方法
TW201306125A (zh) 灰化後側壁修復

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid