KR20010006699A - 평탄화 반사방지 코팅 조성물 - Google Patents

평탄화 반사방지 코팅 조성물 Download PDF

Info

Publication number
KR20010006699A
KR20010006699A KR1020000009313A KR20000009313A KR20010006699A KR 20010006699 A KR20010006699 A KR 20010006699A KR 1020000009313 A KR1020000009313 A KR 1020000009313A KR 20000009313 A KR20000009313 A KR 20000009313A KR 20010006699 A KR20010006699 A KR 20010006699A
Authority
KR
South Korea
Prior art keywords
composition
layer
photoresist
antireflective
substrate
Prior art date
Application number
KR1020000009313A
Other languages
English (en)
Other versions
KR100869484B1 (ko
Inventor
파벨첵에드워드케이.
애덤스티모티지.
도칸토매뉴얼
콜리수잔
바클레이조지지.
Original Assignee
마티네즈 길러모
쉬플리 캄파니, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23004401&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20010006699(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 마티네즈 길러모, 쉬플리 캄파니, 엘.엘.씨. filed Critical 마티네즈 길러모
Publication of KR20010006699A publication Critical patent/KR20010006699A/ko
Application granted granted Critical
Publication of KR100869484B1 publication Critical patent/KR100869484B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Laminated Bodies (AREA)
  • Paints Or Removers (AREA)

Abstract

본 발명은 딥 UV 응용예를 포함하여, 반사방지 코팅 조성물("ARC")로서 사용하는데 적합한 신규 흡광 조성물을 제공한다. 본 발명의 반사방지 조성물은 평탄화 코팅층을 필요로 하는 경우에 특히 유용하다. 본 발명의 ARC는 저분자량 수지, 가소제 화합물 및/또는 저 Tg 수지를 함유한다. 본 발명은 또한 평탄화 ARC 코팅층을 형성하는 응용 방법을 포함한다.

Description

평탄화 반사방지 코팅 조성물{Planarizing antireflective coating compositions}
본 발명은 기판으로부터 오버코팅된(overcoated) 포토레지스트(photoresist) 층으로 노광 조사선이 다시 반사되는 것을 감소시키는 조성물에 관한 것이다. 보다 구체적으로, 본 발명은 하층 기판에 관해 평탄화되는 코팅 층으로서 도포될 수 있는 반사방지 코팅 조성물에 관한 것이다.
포토레지스트는 기판으로 이미지 전사를 위해 사용된 감광성 필름이다. 포토레지스트의 코팅층은 기판상에 형성되며 그후 포토레지스트층은 포토마스크 (photomask)를 통해 활성화 조사선원에 노광된다. 포토마스크는 활성화 조사선에 불투명한 영역과 활성화 조사선에 투명한 영역을 가지고 있다. 활성화 조사선에 노광하면 포토레지스트 코팅의 광유발된 화학 변형이 일어나며 이로 인해 포토레지스트가 코팅된 기판에 포토마스크의 패턴을 전사한다. 노광에 이어서, 포토레지스트를 현상하여 기판의 선택적인 처리를 가능하게 하는 릴리프(relief) 이미지를 제공한다. 일반적으로 포토레지스트 조성물은 본 기술에 알려져 있으며 예를들어 문헌 [Defrorest 저, Photoresist Materials and Processes, McGraw Hill Book Company, New York, ch. 2, 1975 및 Moreau 저, Semiconductor Lithography, Principles, Practices and Materials, Plenum Press, New York, ch. 2 and 4]에 설명되어 있다.
포토레지스트는 주로 실리콘 또는 갈륨 아제나이드와 같은 고광택 반도체 슬라이스(slice)를 전자 전도 패쓰, 바람직하게는 마이크론 또는 서브마이크론 기하구조의 회로 기능을 수행하는 복합 매트릭스(matrix)로 전환시키는 것이 목적인 반도체 제조에 사용된다. 이러한 목적을 성취하는 열쇠는 적합한 포토레지스트 처리에 있다. 다양한 포토레지스트 처리 단계 중에 강한 상호 의존성이 있지만, 고해상도 포토레지스트 이미지를 얻는데 보다 중요한 단계 중 하나는 노광이라 믿어진다.
포토레지스트를 노광하는데 사용된 활성화 조사선의 반사는 때로 포토레지스트층에서 패턴화된 이미지의 해상도에 대한 한계를 제기한다. 기판/포토레지스트 계면으로부터 조사선의 반사는 포토레지스트의 조사선 세기에 공간적인 변화를 나타낼 수 있으며, 현상시 균일하지 못한 포토레지스트 선폭(linewidth)을 초래한다. 조사선은 또한 기판/포토레지스트 계면으로부터 노광 필요가 없는 포토레지스트의 영역에 산란될 수 있으며, 다시 선폭 변화를 초래한다. 산란과 반사의 양은 전형적으로 지역마다 달라질 것이며, 추가의 선폭 불균형을 초래한다. 기판 지형의 변화는 또한 해상도-한정 반사 문제를 제기할 수 있다.
따라서 신규 반사방지 코팅 조성물이 요망된다.
일반적으로 반사방지 코팅은 반사면 위의 4분의 1 파 두께에서 최적으로 작용한다고 알려져 있다. 따라서, 많은 일예에서, 등각(conformal) 반사방지 코팅이 바람직할 것이다.
그러나, 어떤 응용예에서는 등각 코팅이 바람직하지 않다. 예를들어, 웨이퍼 또는 다른 기판 지형(topography)이 수직 스텝(step)을 가지고 있는 경우, 원하는 4분의 1 파 코팅층 두께가 유지될 수 없다. 이 상황에서, 평탄화 반사방지 코팅 조성물을 사용하면 수직 스텝 형상 위의 레지스트 두께가 변할 수 없으므로 바람직할 것이다. 이러한 균일한 레지스트 두께는 CD 변화를 최소화할 것이며 동일한 높이에 모든 레지스트를 놓음으로써 초점의 이용가능한 깊이를 크게 증가시킬 것이다. 더구나, 이러한 지형 위에서 평탄화 ARC를 사용하면 에칭 공정에서 비교적 균일한 노출이 가능하며, 오히려 측벽을 깨끗이 하는 중에 반사방지 에칭에 트렌치(trench) 중앙이 노출되게 한다.
본 발명은 포토레지스트 조성물과 함께 반사방지 코팅 조성물("ARC")로서 사용하는데 적합한 신규의 조사선 흡수 조성물을 제공한다. 본 발명의 ARC는 고평탄화될 수 있으며 따라서 상기에 설명된 응용예와 같은 응용예에 유용할 것이다.
본 발명의 제 1 일예에서, 비교적 저분자량의 폴리머, 예를들어 약 8,000 달톤 또는 그 이하의 Mw, 보다 바람직하게는 약 7,000, 6,000 또는 5,000 달톤 또는 그 이하의 Mw를 가진 폴리머를 함유하는 수지 결합제 성분을 포함하는 반사방지 조성물이 제공된다. 약 4,000, 3,000 또는 2,000 달톤 또는 그 이하의 Mw를 가진 폴리머 또는 올리고머가 또한 본 발명의 평탄화 ARC에 유용할 것이다. 일반적으로, 본 발명의 일예에서, 저분자량 수지는 적어도 약 1,000 또는 1,500 달톤의 Mw를 가질 것이다. 아크릴레이트 단위를 함유하는 수지가 때로 바람직하다.
이러한 저분자량 수지 성분을 가진 본 발명의 ARC는 기판 표면에 도포시 양호한 평탄화 특성을 나타낼 수 있다는 사실을 알아냈다. 예를들어, 본 발명의 ARC는 수직 및 경사 스텝과 같은 실질적인 지형을 코팅하여 그 위에 균일한 평면을 제공할 수 있다.
본 발명의 추가 일예에서, 비교적 저분자량의 가소제 화합물을 포함하는 평탄화 ARC가 제공된다. 본 발명의 ARC 조성물 중 바람직한 가소제 화합물은 다양한 올리고머가 또한 사용될 수 있지만, 비폴리머성 화합물을 포함한다. 본 발명의 ARC에서 사용하는 가소제는 전형적으로 약 2,000 또는 1,500 달톤 미만의 분자량, 보다 바람직하게는 약 1,000, 800 또는 500 달톤 미만의 분자량을 가진다. 바람직한 가소제는 또한 리소그래피(lithographic) 처리 중에 비교적 비휘발성을 나타내기에 충분한 분자량, 예를들어 적어도 약 150 또는 200 달톤의 분자량을 가지고/가지거나 약 160℃를 초과하는, 보다 바람직하게는 약 180℃ 또는 약 200℃를 초과하는 비점을 가지고 있다.
예를들어, 적합한 가소제는 안트라센 화합물, 특히 페닐 또는 벤질 치환된 화합물, 예를들어 9-(2',4'-디히드록시-3-메틸벤질)안트라센; 다중 아릴 치환체, 특히 (3-히드록시페닐)[비스(3-시클로헥실-4-히드록시-6-메틸페닐)]메탄과 같은 다중 페닐 또는 다른 카복실릭 아릴 치환체를 가진 화합물; 2,6-비스(2',4'-디히드록시벤질)4-메틸페놀과 같은 추가의 카보시클릭 아릴 치환체를 가질 수 있는 페놀성 화합물; 디(C2-C16알킬)프탈레이트 화합물, 예를들어 디옥틸 프탈레이트와 같은 알킬 프탈레이트 화합물; 등을 포함한다. 올리고머의 일예는 에틸아크릴레이트/글리시딜 아크릴레이트, 등의 올리고머와 같은 아크릴레이트 올리고머를 포함한다.
이러한 가소제 화합물을 함유하는 본 발명의 ARC는 수직 및 경사 스텝과 같은 중요한 지형을 가진 기판 표면을 포함한, 기판 표면에 도포시 양호한 평탄화 특성을 나타낼 수 있다는 사실을 알아냈다.
본 발명의 이러한 일예, 즉 저분자량 수지 그외에 가소제 화합물을 함유한 두가지 모두를 결합한 평탄화 ARC가 또한 제공된다.
본 발명의 가교결합 ARC가 때로 바람직하다. 가교결합 시스템에서, 하나 이상의 성분이 도포된 ARC 코팅층을 가교결합하거나 달리 경화시키는 여러 형태의 반응에 가능하다. 이러한 가교결합형 조성물은 바람직하게도 ARC의 하나 이상의 성분의 가교결합을 유도하거나 촉진하는 산 또는 산 생성제 화합물(예, 열 산생성제)를 포함한다. 일반적으로 바람직한 가교결합 반사방지 조성물은 아민계 물질과 같은 별도의 가교결합제 성분을 포함한다. 본 발명은 또한 포토레지스트 조성물에 의해 목적으로 한 사용 중에 상당한 가교결합을 수행하지 않는 반사방지 조성물을 포함한다.
본 발명의 추가 일예에서, 평탄화 ARC 조성물의 응용예를 위한 방법이 제공된다. 이들 방법은 일반적으로 기판상에 ARC 조성물을 도포하고, 도포된 ARC 코팅층을 가열하여 조성물의 유동성(flow)과 따라서 증가된 평탄화를 제공하는 것을 포함한다. 그후 포토레지스트는 ARC 층 위에 도포될 수 있다.
이들 방법에서, ARC 조성물이 가교결합 조성물이면, 유동 온도는 ARC 조성물의 상당한 가교결합을 유도할 수 있는 온도 이하이어야 한다. 예를들어, 이러한 평탄화 가교결합형 ARC는 ARC 조성물의 실질적인 가교결합을 유도할 온도 이하의 유리전이온도(Tg)를 가진 수지를 포함할 수 있다. 이러한 ARC에 대해, 도포된 ARC를 대략 수지의 Tg로 가열할 수 있으며, 이는 ARC 조성물이 흐르게 하고 평탄화가 개선된 수준을 성취하게 하나, 조성물의 상당한 가교결합은 없다. 그후, ARC 조성물을 수지의 Tg 이상의 온도로 더욱 가열하여 상당한 가교결합을 유도할 수 있다. 바람직하게도, ARC 수지의 Tg는 ARC 조성물의 상당한 가교결합을 유도하는 온도에서 적어도 약 10℃, 15℃ 또는 20℃ 이하일 것이며, 보다 바람직하게는 ARC 수지의 Tg는 ARC 조성물의 상당한 가교결합을 유도하는 온도에서 적어도 약 25℃, 30℃, 35℃ 또는 40℃ 이하일 것이다. 아크릴레이트 단위를 함유한 저 Tg 수지가 때로 바람직하다. 본 발명에서 "ARC 조성물의 상당한 가교결합이 일어나는 온도", 또는 다른 유사한 용어는 이 온도로 60초간 노광시 ARC 조성물 중 가교결합제 성분의 적어도 약 20 몰%가 반응하는 온도를 의미하는 것으로 정의된다.
이러한 저 Tg 수지를 가진 ARC는 여러 가지 전략에 의해 제공될 수 있다. 예를들어, 수지의 Tg를 감소시킬 수 있는 비교적 "유연한" 모노머의 중합된 단위를 포함하는 ARC 수지가 사용될 수 있다. 유연한 모노머의 일예는 디에틸렌 글리콜 메타아크릴레이트와 디에틸렌 글리콜 아크릴레이트 및 상응하는 저급 알킬(예 C1-4) 에테르, 특히 CH2=C(CH3)C(O)OCH2CH2OCH3, CH2=CHC(O)OCH2CH2OCH3, CH2=CHC(O)OCH2- CH2OCH2CH2OCH3, CH2=C(CH3)C(O)OCH2CH2OCH2CH2OCH3, 등과 같은 메틸 에테르; 에틸렌 글리콜 메타아크릴레이트와 에틸렌 글리콜 아크릴레이트; 탄소 4개 이상, 전형적으로 탄소 4 내지 약 16개를 가진 알킬 아크릴레이트, n-부틸 아크릴레이트; 및 히드록시 알킬 메타아크릴레이트 또는 아크릴레이트(여기서 히드록시알킬 치환체는 탄소 원자 4 내지 약 16개를 가짐); 등을 포함한다.
ARC 조성물의 유동과 가교결합 사이의 이러한 온도 차이는 여러 가지 다른 전략에 의해 제공될 수 있다. 바람직한 수단에서, ARC 조성물은 산 존재하에 가교결합되며, 조성물은 수지 ARC의 Tg 이상인 비교적 고온에 노출시에만 산을 생성할 열 산생성제를 함유한다. 예를들어, 본 발명의 이러한 일예에 사용하기 위한 바람직한 열 산생성제는 적어도 약 70℃ 또는 80℃, 보다 바람직하게는 적어도 약 90℃ 또는 100℃, 더욱 바람직하게는 적어도 약 110℃ 또는 120℃로 연장된(예를들어 적어도 30초) 노출시 활성화될 것이다(산 생성).
본 발명은 또한 이러한 저 Tg 수지 그외에 상기에 설명된 본 발명의 다른 일예 중 하나 또는 둘 다, 즉 저분자량 수지 및/또는 가소제 화합물을 가진 ARC 조성물을 포함한다.
본 발명의 반사방지 조성물은 적합하게는 포지티브-작용 및 네가티브-작용 포토레지스트 조성물로 사용된다.
본 발명은 추가로 포토레지스트 릴리프 이미지를 형성하는 방법 및 본 발명의 반사방지 조성물 단독으로 또는 포토레지스트 조성물과 조합하여 코팅된 기판을 포함한 신규 제품을 제공한다. 본 발명의 반사방지 조성물로 코팅된 전형적인 기판은 예를들어 마이크로일렉트로닉 웨이퍼 및 액정 디스플레이 기판과 같은 평판 디스플레이 기판을 포함한다. 본 발명의 다른 일예는 이하에 설명된다.
도 1은 ARC(반사방지 코팅 조성물)의 평판화도 측정을 위한 개략도.
상기에 언급한 바와 같이, 제 1 일예에서, 본 발명의 반사방지 조성물은 저분자량 폴리머를 포함한다. 저분자량 폴리머 조성물은 고평탄화 코팅을 제공할 수 있다.
코팅층의 평탄화에 대한 측정 수단 하나는 "평탄화도" 또는 "DOP"로서 언급되며, 이것은 일정한 스텝 지형에 대해 다음과 같이 정의된다:
DOP = 1 - R1/R2
여기서 R1은 스텝 형상 위의 ARC 조성물의 최대 "함몰 깊이"이며, R2는 스텝 깊이이다. DOP를 계산하는 식는 또한 기판(10)을 그린 도면 도 1에 도시되어 있으며 기판은 경사 스텝(실리콘의 국소 산화 과정에 의해 형성될 수 있음)과 ARC 층(12)을 가지고 있다. 이 도면에서, [1 - (R1및 R2의 그림 값의 몫)]은 코팅에 대한 DOP와 같다. 본 발명에서 사용된 "평탄화도" 또는 "DOP"란 상기에 설명하고 도 1에서 구체화한 [1 - (R1/R2)]의 값을 뜻한다.
본 발명의 바람직한 ARC는 실리콘의 국소 산화(LOCOS) 과정에 의해 형성된 경사 프로파일을 가진 스텝 형상에 대해 적어도 약 0.5의 DOP 및 0.8 마이크론의 폭 및 2 마이크론의 중간점 깊이를 나타내며, 보다 바람직하게는 이러한 형상에 대해 적어도 약 0.55 또는 0.60의 DOP, 더욱 바람직하게는 이러한 형상에 대해 약 0.65의 DOP를 나타낸다.
상기에 설명한 바와 같이, 본 발명의 제 1 일예에서, 저 Mw 수지를 함유하는 평탄화 ARC가 제공된다. ARC에서 저분자량 폴리머로서 광범위한 물질이 사용될 수 있다. 저분자량 폴리머는 바람직하게는 목적하는 응용예에 사용하는데 적합한 특성, 특히 폴리머가 선택된 용매에 용해될 수 있다는 사실을 나타낸다.
본 발명의 가교결합 ARC에 대해, 다른 ARC 성분이 다른 폴리머와 같은 가교결합 종류로서 작용할 수 있지만, 적합하게도 폴리머는 다른 조성물 성분과 반응을 위한 위치를 함유하여 가교결합을 수행할 수 있다.
딥 UV 응용예에 대해, 반사방지 조성물의 폴리머는 바람직하게도 딥 UV 범위(전형적으로 약 100 내지 300 nm)에서 반사를 효과적으로 흡수할 것이다. 따라서, 폴리머는 바람직하게도 딥 UV 발색단인 단위, 즉 딥 UV 조사선을 흡수하는 단위를 함유한다. 고혼성 부분이 일반적으로 적합한 발색단이다. 방향족 그룹, 특히 폴리시클릭 탄화수소 또는 헤테로시클릭 단위, 예를들어 각 환에 3 내지 8개의 환 멤버를 가진 2 내지 3 또는 4개의 융합되거나 별도의 환과 환 1개당 0 내지 3개의 N, O 또는 S 원자를 가진 그룹은 전형적으로 바람직한 딥 UV 발색단이다. 이러한 발색단은 치환되거나 비치환된 페난트릴, 치환되거나 비치환된 안트라실, 치환되거나 비치환된 아크리딘, 치환되거나 비치환된 나프틸, 치환되거나 비치환된 퀴놀린일 및 히드록시퀴놀린일 그룹과 같은 환-치환된 퀴놀린일을 포함한다. 치환되거나 비치환된 아트라실 그룹이 특히 바람직하다. 바람직한 수지 결합제는 펜단트(pendant)된 안트라센 그룹을 가지고 있다. 바람직한 수지는 쉬플리사의 유럽 공개출원 제 813114A2 호의 4 페이지에 설명된 화학식 I의 수지를 포함한다.
또다른 바람직한 수지 결합제는 치환되거나 비치환된 퀴놀린일 또는 히드록시퀴놀린일과 같은 하나 이상의 N, O 또는 S의 환 원자를 가진 퀴놀린일 유도체를 포함한다. 폴리머는 폴리머 주쇄로부터 펜단트된 카복시 및/또는 알킬 에스테르 단위와 같은 다른 단위를 함유할 수 있다. 특히 바람직한 반사방지 조성물 수지는 이러한 단위를 함유한 아크릴릭 폴리머, 이를테면 쉬플리사의 유럽 공개출원 제 813114A2 호의 4-5 페이지에 설명된 화학식 II의 수지이다.
193 nm에서 이미지화하는데 대해, ARC 조성물은 바람직하게도 페닐 발색단 단위를 가진 수지를 함유할 것이다. 예를들어, 193 nm에서 이미지화된 포토레지스트와 함께 사용하기 위한 바람직한 ARC 수지 하나는 스티렌, 2-히드록시에틸메타아크릴레이트 및 메틸메타아크릴레이트의 중합화 단위(30:38:32 몰비)로 구성된 터폴리머이다. 이러한 페닐 수지와 ARC 조성물에서 이의 용도는 1998. 9. 15자 출원되고, 쉬플리사에 양도된 미국출원번호 제 09/153,575 호에 기재되어 있다.
본 발명의 반사방지 조성물의 저분자량 수지는 바람직하게도 적어도 하나의 모노머가 발색단, 예를들어 안트라센일, 퀴놀린일 또는 히드록시퀴놀린일 그룹을 포함하는 두 개 이상의 서로 다른 모노머를 중합시킴으로써 합성된다. 예를들어 라디칼 개시제의 존재하에 바람직하게는 불활성 분위기(예, N2또는 아르곤) 및 약 50℃ 또는 그 이상과 같은 상승 온도(반응 온도는 사용된 특정 시약의 반응성과 반응 용매(용매를 사용한 경우)의 비점에 따라 달라질 수 있음)에서 다양한 단위를 제공하는 복수의 모노머의 반응에 의한 자유 라디칼 중합이 적절히 사용된다. 프로판올 및 부탄올 및 벤젠, 클로로벤젠, 톨루엔 및 크실렌과 같은 방향족 용매와 같은 다양한 반응 용매가 사용될 수 있다. 디메틸설폭시드, 디메틸포름아미드, 프로필렌 글리콜 모노메틸 에테르, 에틸 락테이트 및 THF가 또한 적합하다. 용매는 바람직하게도 시약의 첨가전에 탈기한다. t-도데실티올과 같은 사슬 전이제가 또한 사용될 수 있다. 특정 시스템에 대해 적합한 반응 온도는 본 문헌에 기초하여 본 기술의 숙련가에게 실험적으로 쉽게 측정될 수 있다. 본 발명의 코폴리머를 제조하는데 다양한 자유 라디칼 개시제가 사용될 수 있다. 예를들어, 2,2'-아조비스(2-메틸부탄니트릴), 2,2'-아조비스(2,4-디메틸펜탄니트릴), 아조-비스-2,2'-이소부티로니트릴(AIBN) 및 1,1'-아조비스(시클로헥산카보니트릴)과 같은 아조 화합물이 사용될 수 있다. 퍼옥사이드, 퍼에스테르, 퍼애시드 및 퍼설페이트가 또한 사용될 수 있다. 반응 조건의 일예는 다음 실시예 1-4를 참조한다.
또한, 바람직하지는 않지만, 예형 수지가 발색단 단위와 작용화될 수 있다. 예를들어, 글리시딜 노볼락과 같은 글리시딜 페놀릭 수지가 안트란일 카복실산과 반응될 수 있다.
본 발명의 반사방지 조성물의 수지는 바람직하게는 딥 UV 파장에서 이를테면 100 내지 약 300 nm의 범위내에서 양호한 흡광도를 나타낸다. 보다 구체적으로, 본 발명의 바람직한 수지 결합제는 사용된 노광 파장(예, 약 248 nm 또는 약 193 nm)에서 1 마이크론 당 적어도 약 3 흡광도 단위(Absorb. unit/μ), 바람직하게는 이 노광 파장에서 1 마이크론 당 약 5 내지 20 이상의 흡광도 단위, 보다 바람직하게는 사용된 노광 파장에서 1 마이크론 당 약 4 내지 16 이상의 흡광도 단위의 광학 밀도를 가지고 있다.
이러한 흡광 발색단을 가진 반사방지 조성물 수지가 일반적으로 바람직하지만, 본 발명의 반사방지 조성물은 공-수지로서 또는 단독 수지 결합제 성분으로 다른 수지를 함유할 수 있다. 예를들어, 페놀릭, 예 폴리(비닐페놀)과 노볼락이 사용될 수 있다. 이러한 수지는 쉬플리사의 유럽출원 EP 542008 호, 및 Thackeray et al.의 미국특허 제 5,851,738 호에 기재되어 있다. 포토레지스트 수지 결합제로서 다음에 기재된 다른 수지는 또한 본 발명의 반사방지 조성물의 수지 결합제 성분에 사용될 수 있다.
본 발명의 반사방지 조성물의 수지 성분의 농도는 비교적 광범위하게 달라질 수 있으며, 일반적으로 수지 결합제는 반사방지 조성물의 전체 건조 성분의 약 50 내지 95 중량%, 보다 전형적으로는 전체 건조 성분(용매 담체를 제외한 모든 성분)의 약 60 내지 90 중량%의 농도로 사용된다.
본 발명의 반사방지 조성물의 가소제로서 다양한 화합물이 사용될 수 있다. 가소제 물질은 또한 원한다면 노광 조사선-흡광 발색단을 포함할 수 있다. 예를들어, 적합한 가소제는 안트라센 화합물, 특히 페닐 또는 벤질 치환된 화합물, 예를들어 9-(2',4'-디히드록시-3-메틸벤질)안트라센; (3-히드록시페닐)[비스(3-시클로헥실-4-히드록시-6-메틸페닐)]메탄과 같은, 다중(multiple) 아릴 치환체, 특히 다중 페닐 또는 다른 카보시클릭 아릴 치환체를 가진 화합물; 2,6-비스(2',4'-디히드록시벤질)4-메틸페놀과 같은 추가의 카보시클릭 아릴 치환체를 가질 수 있는 페놀성 화합물; 등을 포함한다. 비-폴리머릭 가소제가 많은 응용예에서 바람직할 수 있다. 그러나, 올리고머릭 가소제가 또한 상기에 설명한 바와 같이 사용될 수 있다. 바람직한 올리고머는 에틸아크릴레이트/글리시딜 아크릴레이트, 등의 올리고머와 같은 아크릴레이트 올리고머를 포함한다. 일반적으로, 본 발명의 ARC 조성물에 사용된 올리고머릭 가소제는 약 2, 3, 4, 5, 6 또는 7개의 연결된 단위, 보다 전형적으로는 약 2, 3, 4 또는 5개의 연결된 단위를 가질 것이다. 본 발명의 ARC의 올리고머릭 가소제는 바람직하게도 약 3,000 또는 그 이하, 보다 바람직하게는 약 2,000 또는 1,5000 또는 그 이하의 Mw를 가질 것이다.
본 발명의 ARC에서 사용하기 위한 특히 바람직한 가소제는 올리고머 4 내지 6을 비롯하여, 다음 화합물 1 내지 6을 포함한다.
가소제 화합물은 바람직하게도 ARC 조성물의 전체 고형분(용매 담체를 제외한 모든 성분)에 기초하여, 약 5 중량% 내지 약 50 중량%, 보다 바람직하게는 ARC 조성물의 전체 고형분의 약 10 내지 30 또는 40 중량%의 양으로 존재한다. ARC 조성물의 전체 고형분에 기초하여 가소제를 20 중량%로 함유하는 것이 특히 바람직하다.
저 Tg 수지를 함유하는 본 발명의 ARC는 일반적으로 수지 합성에서 유연성 모노머의 사용과 함께 저 Mw 수지에 대해 상기에 설명한 바와 같이 제조될 수 있다. 반응 조건의 일예에 대해 다음 실시예 3 및 4를 참조한다. 저 Tg 수지는 또한 본 발명에서 설명한 저 Mw를 가질 수 있다. 상기에 설명한 바와 같이, 적합한 "유연성" 모노머는 예를들어 디에틸렌 글리콜 메타아크릴레이트 및 디에틸렌 글리콜 아크릴레이트; 에틸렌 글리콜 메타아크릴레이트 및 에틸렌 글리콜 아크릴레이트; 탄소 4개 이상, 전형적으로는 탄소 4 내지 약 16개를 가진 알킬 아크릴레이트, n-부틸 아크릴레이트; 및 히드록시 알킬 메타아크릴레이트 또는 아크릴레이트(여기서 히드록시알킬 치환체는 CH2=C(CH3)COO(CH2CH2O)4CH2CH2OH(이 모노머는 "HEMA-5"로 알려짐)와 같은 탄소 원자 4 내지 약 16개를 가짐); 등을 포함한다.
본 발명의 가교결합형 반사방지 조성물은 또한 일반적으로 추가의 가교결합제 성분을 함유한다. 본 발명에서 참고내용에 속한 쉬플리사의 유럽출원 제 542008 호에 개시된 반사방지 조성물 가교결합제를 포함하여, 다양한 가교결합제가 사용될 수 있다. 예를들어, 적합한 반사방지 조성물 가교결합제는 American Cyanamid 사에 의해 제조되고 상표명 Cymel 300, 301, 303, 350, 370, 380, 1116 및 1130으로 시판된 멜라민 수지를 비롯하여, 멜라민 수지와 같은 아민계 가교결합제를 포함한다. American Cyanamid 사로부터 얻을 수 있는 글리코릴(glycouril)을 비롯한 글리코릴이 특히 바람직하다. 명칭 Cymel 1123 및 1125하에 American Cyanamid 사로부터 얻을 수 있는 벤조쿠안아민 수지, 및 명칭 Beetle 60, 65 및 80하에 American Cyanamid 사로부터 얻을 수 있는 요소 수지와 같은 수지를 포함하여 벤조쿠안아민 및 요소계 물질이 또한 적합할 것이다. 상용되는 것에 더하여, 이러한 아민계 수지는 예를들어 알코올-함유 용액에서 포름알데히드와 아크릴아미드 또는 메타아크릴아미드 코폴리머의 반응에 의해, 또는 별도로 다른 적합한 모노머와 N-알콕시메틸 아크릴아미드 또는 메타아크릴아미드의 공중합에 의해 제조될 수 있다.
메톡시 메틸화 글리코릴과 같은 저염기도 반사방지 조성물 가교결합제가 특히 바람직하다. 특히 바람직한 가교결합제는 다음 화학식 (III)에 상응하는 메톡시 메틸화 글리코릴이다:
(III)
이 메톡시 메틸화 글리코릴은 공지 과정에 의해 제조될 수 있다. 화합물은 또한 American Cyanamid 사로부터 상표명 Powderlink 1174하에 상용될 수 있다.
다른 적합한 저염기도 가교결합제는 히드록시 화합물, 특히 C1-8히드록시알킬 치환체와 같은 하나 이상의 히드록시 또는 히드록시 알킬 치환체를 가진 페닐 또는 다른 방향족 화합물과 같은 다작용성 화합물을 포함한다. 일반적으로 디-메탄올페놀(C6H3(CH2OH)2OH) 및 인접한(1-2 환 원자내에) 히드록시 및 히드록시알킬 치환체를 가진 다른 화합물, 특히 하나 이상의 메탄올 또는 다른 히드록시알킬 환 치환체 및 이러한 히드록시알킬 치환체에 인접한 적어도 하나의 히드록시를 가진 페닐 또는 다른 방향족 화합물과 같은 페놀 화합물이 바람직하다.
본 발명의 반사방지 조성물에 사용된 메톡시 메틸화 글리코릴과 같은 저염기도 가교결합제가 오버코팅된 포토레지스트 릴리프 이미지의 하부절단 (undercutting) 또는 푸팅(footing)의 상당한 감소(SEM 시험)를 포함하여, 우수한 리소그래피 성능 특성을 제공할 수 있다.
일반적으로 본 발명의 반사방지 조성물의 가교결합제 성분은 반사방지 조성물의 전체 고형분(용매 담체를 제외한 모든 성분)의 5 내지 50 중량%의 양으로, 보다 전형적으로는 전체 고형분의 약 7 내지 25 중량%의 양으로 존재한다.
본 발명의 가교결합 반사방지 조성물은 반사방지 조성물 코팅층의 경화 중에 가교결합을 촉매작용하거나 촉진하기 위해 바람직하게도 추가로 산 또는 산 생성제 화합물, 특히 열 산생성제 화합물을 포함한다. 바람직하게도 열 산생성제, 즉 열처리시 산을 생성하는 화합물이 사용된다. 예를들어 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인 토실레이트, 4-니트로벤질 토실레이트 및 유기 설폰산의 다른 알킬 에스테르와 같은 다양한 공지의 열 산생성제가 적절히 사용된다. 활성화시 설폰산을 생성하는 화합물이 일반적으로 적합하다. 전형적으로 반사방지 조성물에서 열 산생성제는 조성물의 건조 성분 전체의 약 0.1 내지 10 중량%, 보다 바람직하게는 전체 건조 성분의 약 2 중량%의 농도로 존재한다.
상기에 설명한 바와 같이, 보다 낮은 유동 열처리를 사용하고 이어서 보다 높은 가교결합 처리를 사용하는 경우인, 본 발명의 "복수-경화"(multi-cure) 방법에서, 비교적 고온에서 활성화되는(산을 생성하는) 열 산생성제를 사용하는 것이 바람직하다. 바람직한 고온 활성화 열 산생성제는 다음 화학식의 화합물과 같은 아릴설폰산 아민염을 포함한다:
여기서 R은 각각 독립적으로 수소 또는 탄소 1 내지 약 6개를 가진 알킬일 수 있으며, n은 1 또는 2이고, 바람직하게는 2이며, 나프틸 환은 이용가능한 위치에 예를들어 탄소 1 내지 약 16개를 가진 알킬 등에 의해 임의로 치환될 수 있다.
특히 바람직한 열 산생성제는 다음 화학식(R은 상기에 정의한 바와 같음)을 가지며 미국 코네티컷 노워크 소재의 King Industries 사에 의해 상표명 Nacure X49-110 하에 상용된다.
또한, 열 산생성제 보다 오히려, 산은 특히 산이 반사방지 조성물의 사용 전에 조성물 성분의 원하지 않는 반응을 촉진하지 않도록 산의 존재하에 경화되는 가열을 필요로 하는 반사방지 조성물을 위해 반사방지 조성물에 간단히 배합될 수 있다. 적합한 산은 예를들어 설폰산(예, 톨루엔 설폰산, 메탄 설폰산, 트리플릭산, 등과 같은 강산, 또는 이들 물질의 혼합물을 포함한다.
본 발명은 또한 포토레지스트 조성물과 함께 목적하는 사용 중에 상당한 가교결합을 수행하지 않는 반사방지 조성물을 포함한다. 이러한 비-가교결합 반사방지 조성물은 가교결합 반응을 유도하거나 촉진하기 위해 가교결합제 성분 또는 산 또는 열 산생성제를 포함할 필요가 없다. 바꾸어 말하자면, 이러한 비-가교결합 반사방지 조성물은 전형적으로 가교결합 반응을 촉진하기 위해 가교결합제 성분 및/또는 산 표면이 반드시 없거나(즉 약 1 또는 2 중량% 미만) 또는 완전히 없을 것이다.
본 발명의 반사방지 조성물은 바람직하게도 또한 오버코팅된 포토레지스트 층의 바람직하지 않은 노칭(notching) 또는 푸팅을 억제하거나 실질적으로 방지하기에 충분한 양으로 적절히 사용되는 하나 이상의 포토애시드 생성제(즉, "PAG")를 포함한다. 본 발명의 일예에서, 포토애시드 생성제는 가교결합 반응을 촉진하기 위한 산원으로서 사용되지 않으며, 따라서 바람직하게도 포토애시드 생성제는 반사방지 조성물(가교결합 ARC의 경우에)의 가교결합 중에 실질적으로 활성화되지 않는다. 특히, 열에 의해 가교결합되는 반사방지 조성물에 대해, 반사방지 조성물 PAG는 오버코팅된 레지스트 층의 후속 노광 중에 PAG가 활성화되고 산을 생성할 수 있도록 가교결합 반응의 조건에 실질적으로 안정해야 한다. 특히, 바람직한 PAG는 약 140 또는 150 내지 190℃의 온도에서 5 내지 30 분 이상 노출시 실질적으로 분해되거나 달리 저하되지 않는다. 이러한 PAG와 반사방지 코팅 조성물에서 그의 용도는 1997. 2. 6자 출원된 Pavelchek et al.의 미국특허출원 제 08/797,741 호 및 대응 일본특허출원 제 10-61845 호(두 건 모두 쉬플리사에 양도됨)에 기재되어 있다.
본 발명의 반사방지 조성물 중 적어도 일부에 대하여, 계면활성제로서 작용할 수 있고 반사방지 조성물/레지시트 코팅층 계면에 가까운 반사방지 조성물층의 상부에 근접하여 집합될 수 있는 반사방지 조성물의 포토애시드 생성제가 바람직할 것이다. 특히 바람직한 본 발명의 반사방지 조성물 포토애시드 생성제는 딥 UV 조사선, 특히 약 248 nm, 약 193 nm 및/또는 약 157 nm에 노광시 활성화될 수 있어서, 반사방지 조성물이 오버코팅된 딥 UV 포토레지스트와 함께 효과적으로 사용될 수 있다. 적합하게도 반사방지 조성물의 포토애시드 생성제와 포토레지스트 조성물의 포토애시드 생성제는 동일한 노광 파장에서 활성화될 것이다. 반사방지 조성물 광활성 화합물과 포토레지스트 광활성 화합물이 포토레지스트 층의 조사 중에 활성화 조사선에 노광시 같거나 거의 같은 산 화합물(광생성물), 즉 바람직하게도 유사한 확산 특성과 유사한 산 세기를 가지는 광생성물을 생성하는 경우에 본 발명의 반사방지 조성물을 포토레지스트 조성물과 함께 사용하는 것이 또한 바람직하다. 상기에 인용한 미국특허출원 제 08/797,741 호 및 일본특허출원 제 10-61845 호를 참조한다.
오늄(onium)염은 본 발명의 반사방지 조성물의 포토애시드 생성제로서 사용될 수 있다. 적합한 바람직한 오늄염의 일예는 미국특허 제 4,442,197 호; 4,603,101 호; 및 4,624,912 호, 그외에 상기에 인용된 미국특허출원 제 08/797,741 호 및 일본특허출원 제 10-61845 호에서 발견될 수 있다.
치환된 디페닐 요오도늄 캄포르 설포네이트 화합물은 본 발명의 반사방지 조성물을 위한 바람직한 오늄 PAG, 특히 설포네이트염이다. 특히 바람직한 두 개의 시약은 다음 PAG 1 및 2이다:
이러한 요오듐 화합물은 상기의 PAG 1의 합성을 상술하고 있는 유럽특허출원 제 96118111.2 호(공개번호 제 0783136 호)에 기재한 바와 같이 제조될 수 있다.
다른 적합한 PAG는 설폰화 에스테르와 설포닐옥시 케톤을 포함한다. 벤조인 토실레이트, t-부틸페닐 알파-(p-톨루엔설포닐옥시)-아세테이트 및 t-부틸 알파-(p-톨루엔설포닐옥시)-아세테이트를 비롯하여 적합한 설포네이트 PAG의 개시에 대해서 문헌[J. of Photopolymer Science and Technology, 4(3): 337-340 (1991)]을 참조한다. 바람직한 설포네이트 PAG는 또한 Sinta et al.의 미국특허 제 5,344,742 호에 개시되어 있다.
본 발명의 반사방지 조성물에 대한 다른 유용한 산 생성제는 니트로벤질 에스테르류, 및 s-트리아진 유도체를 포함한다. 적합한 s-트리아진 산생성제는 예를들어 미국특허 제 4,189,323 호에 기재되어 있다.
할로겐화 비이온성, 포토애시드 생성 화합물은 또한 본 발명의 반사방지 조성물에 대해 적합한 이들의 예는 1,1-비스[p-클로로페닐]-2,2,2-트리클로로에탄 (DDT); 1,1-비스[p-메톡시페닐]-2,2,2-트리클로로에탄; 1,2,5,6,9,10-헥사브로모시클로데칸; 1,10-디브로모데칸; 등이다. 적합한 포토애시드 생성제는 또한 유럽특허출원 제 0164248 호 및 제 0232972 호; 및 Sinta et al.의 미국특허 제 5,362,600 호에 기재되어 있다.
본 발명의 반사방지 조성물은 또한 오버코팅된 포토레지스트 층을 노출하는데 사용된 조사선을 흡수하는 추가의 염료 화합물을 포함할 수 있다. 다른 임의의 첨가제는 표면 평탄화제(leveling agent), 예를들어 Union Carbide 사로부터 상표명 Silwet 7604로 얻을 수 있는 평탄화제, 또는 3M 사로부터 얻을 수 있는 계면활성제 FC 171 또는 FC 431을 포함한다.
본 발명의 ARC는 일반적으로 공지된 방법에 의해 제조될 수 있다. 액체 코팅 조성물을 제조하기 위하여, 반사방지 조성물의 성분을 예를들어 에틸 락테이트 또는 하나 이상의 글리콜 에테르, 이를테면 2-메톡시에틸 에테르(diglyme), 에틸렌 글리콜 모노메틸 에테르, 및 프로필렌 글리콜 모노메틸 에테르; 에테르와 히드록시 부분 둘 다를 가진 용매, 이를테면 메톡시 부탄올, 에톡시 부탄올, 메톡시 프로판올 및 에톡시 프로판올; 에스테르, 이를테면 메틸 셀로솔브 아세테이트, 에틸 셀로솔브 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 디프로필렌 글리콜 모노메틸 에테르 아세테이트 및 다른 용매, 이를테면 이염기성 에스테르, 프로필렌 카보네이트 및 감마-부티로 락톤과 같은 적합한 용매에 용해시킨다. 용매내 건조 성분의 농도는 도포 방법과 같은 여러 가지 요인에 좌우될 것이다. 일반적으로, 반사방지 조성물의 고형분은 반사방지 조성물의 전체 중량의 약 0.5 내지 20 중량%로 달라지며, 바람직하게는 고형분은 반사방지 조성물의 전체 중량의 약 2 내지 10 중량%로 달라진다.
다양한 포토레지스트 조성물은 포지티브-작용 및 네가티브-작용 포토애시드-생성 조성물을 포함하여, 본 발명의 반사방지 조성물과 함께 사용될 수 있다. 본 발명의 반사방지 조성물과 함께 사용된 포토레지스트는 전형적으로 수지 결합제 및 광활성 성분, 전형적으로 포토애시드 생성제 화합물을 포함한다. 바람직하게도 포토레지스트 수지 결합제는 이미지화된 레지스트 조성물에 알칼리 수성 현상성을 부여하는 작용 그룹을 가지고 있다. 일반적으로 본 발명의 반사방지 조성물과 함께 사용하는데 바람직한 포토레지스트는 포지티브-작용 및 네가티브-작용 화학 증폭형 레지스트이다. 여러 가지 화학 증폭형 레지스트 조성물은 예를들어 미국특허 제 4,968,581 호; 4,883,740 호; 4,810,613 호; 4,491,628 호 및 5,492,793 호에 기재된 바 있으며, 이들 모두는 화학 증폭형 포지티브-작용 레지스트를 제조하고 사용하는 교시 내용에 대해 참고자료에 속한다. 본 발명의 반사방지 조성물과 함께 사용하기 위한 특히 바람직한 화학 증폭형 포토레지스트는 포토애시드 생성제 및 페놀성 및 비페놀성 단위 모두를 함유한 코폴리머를 포함하는 수지 결합제를 혼합하여 포함한다. 예를들어, 이러한 코폴러머의 바람직한 그룹 하나는 코폴리머의 비페놀성 단위 위에만 실질적으로, 반드시 또는 완전히 산 불안정 그룹을 가진다. 특히 바람직한 코폴리머 결합제 중 하나는 다음 화학식의 반복 단위 x와 y를 가지고 있다:
여기서 히드록실 그룹은 코폴리머 전체에 오르토, 메타 또는 파라 위치에 존재하며, R'은 탄소원자 1 내지 약 18개, 보다 전형적으로는 탄소원자 1 내지 약 6 내지 8개를 가진 치환되거나 비치환된 알킬이다. tert-부틸은 일반적으로 바람직한 R' 그룹이다. R' 그룹은 임의로 예를들어 하나 이상의 할로겐(특히 F, Cl 또는 Br), C1-8알콕시, C2-8알켄일, 등에 의해 치환될 수 있다. 단위 x와 y는 코폴리머에서 규칙적으로 바뀔 수 있거나, 또는 폴리머를 통해 랜덤하게 산재될 수 있다. 이러한 코폴리머는 쉽게 형성될 수 있다. 예를들어, 상기 화학식의 수지에 대해, 비닐 페놀과 치환되거나 비치환된 알킬 아크릴레이트(이를테면 t-부틸아크릴레이트) 등이 본 기술에서 공지된 자유 라디칼 조건하에 축합될 수 있다. 치환된 에스테르 부분, 즉 R'-O-C(=O)-, 아크릴레이트 단위의 부분은 수지의 산 불안정 그룹으로 작용하며 수지를 함유한 포토레지스트의 코팅 층을 노광할 때 포토애시드 유도 분해를 수행할 것이다. 바람직하게도 코폴리머는 약 3 또는 그 이하의 분자량 분포, 보다 바람직하게는 약 2 또는 그 이하의 분자량 분포와 함께 약 8,000 내지 약 50,000, 보다 바람직하게는 약 15,000 내지 약 30,000의 Mw를 가질 것이다. 비페놀성 수지, 예를들어 알킬 아크릴레이트(이를테면 t-부틸아크릴레이트 또는 t-부틸메타아크릴레이트) 및 비닐 알리시클릭 화합물(이를테면 비닐 노르보르닐 또는 비닐 시클로헥산올 화합물)의 코폴리머가 또한 본 발명의 조성물에서 수지 결합제로서 사용될 수 있다. 이러한 코폴리머는 또한 이러한 자유 라디칼 중합반응 또는 다른 공지된 방법에 의해 제조될 수 있으며 적합하게는 약 8,000 내지 약 50,000의 Mw, 및 약 3 또는 그 이하의 분자량 분포를 가질 것이다. 추가의 바람직한 화학 증폭형 포지티브 레지스트는 Sinta et al.의 미국특허 제 5,258,257 호; Thackeray et al.의 미국특허 제 5,700,624 호; 및 Barclay et al.의 미국특허 제 5,861,231 호에 기재되어 있다.
본 발명의 반사방지 조성물은 또한 히드록실 또는 카복실레이트와 같은 극성 작용 그룹을 포함하는 수지 결합제를 함유한 레지스트를 비롯하여, 다른 포지티브 레지스트와 함께 사용될 수 있으며 수지 결합제는 레지스트에 알칼리 수용액과의 현상성을 부여하는데 충분한 양으로 레지시트 조성물에서 사용된다. 일반적으로 바람직한 레지스트 수지 결합제는 본 기술에서 노볼락 수지로 알려진 페놀 알데히드 축합물, 알케닐 페놀의 호모 및 코폴리머와 N-히드록시페닐-말레이미드의 호모 및 코폴리머를 비롯한 페놀성 수지이다.
본 발명의 반사방지 조성물과 함께 사용하기 위한 바람직한 네가티브-작용 레지스트 조성물은 산에 노출시 경화하거나, 가교결합하거나 굳어질 물질, 및 포토애시드 생성제의 혼합물을 포함한다.
특히 바람직한 네가비트-작용 레지스트 조성물은 페놀성 수지와 같은 수지 결합제, 본 발명의 가교결합제 성분 및 광활성 성분을 포함한다. 이러한 조성물과 그의 용도는 Thackeray et al.의 유럽특허출원 제 0164248 호 및 0232972 호 및 미국특허 제 5,128,232 호에 기재된 바 있다. 수지 결합제 성분으로서 사용하기 위한 바람직한 페놀성 수지는 노볼락과 상기에서 설명된 것과 같은 폴리(비닐페놀)을 포함한다. 바람직한 가교결합제는 멜라민, 글리코릴을 포함하여, 아민계 물질, 벤조구안아민계물질 및 요소계 물질을 포함한다. 일반적으로 멜라민-포름알데히드 수지가 가장 바람직하다. 이러한 가교결합제는 상용되고 있으며, 예를들어 상표명 Cymel 300, 301 및 303하에 American Cyanamid 사에 의해 판매된 멜라민 수지이다. 글리코릴 수지는 상표명 Cymel 1170, 1171, 1172, Powderlink 1174하에 American Cyanamid 사에 의해 시판되고 있고, 요소계 수지는 상표명 Beetle 60, 65 및 80하에 시판되고 있고, 벤조구안아민 수지는 상표명 Cymel 1123 및 1125하에 시판되고 있다.
본 발명의 반사방지 조성물과 함께 사용된 레지스트의 적합한 포토애시드 생성제 화합물은 각각 참고내용에 속하는, 미국특허 제 4,442,197 호, 4,603,101 호, 및 4,624,912 호에 개시된 것과 같은 오늄염; 및 Thackeray et al.의 미국특허 제 5,128,232 호에서의 할로겐화 광활성 화합물 및 설폰화 에스테르와 설포닐옥시 케톤을 비롯한 설포네이트 포토애시드 생성제와 같은 비이온성 유기 광활성 화합물을 포함한다. 벤조인 토실레이트, t-부틸페닐 알파-(p-톨루엔설폰일옥시)-아세테이트 및 t-부틸 알파-(p-톨루엔설포닐옥시)-아세테이트를 비롯한, 적합한 설포네이트 PAG의 개시에 대해서는 문헌[J. of Photopolymer Science and Technology, 4(3): 337-340 (1991)]을 참조한다. 바람직한 설포네이트 PAG는 또한 Sinta et al.의 미국특허 제 5,344,742 호에 개시되어 있다. 상기의 캄포르설포네이트 PAG 1 및 2는 또한 본 발명의 반사방지 조성물과 함께 사용된 레지스트 조성물, 특히 본 발명의 화학 증폭형 레지스트에 대해 바람직한 포토애시드 생성제이다.
본 발명의 반사방지 조성물과 함께 사용하기 위한 포토레지스트는 또한 다른 물질을 함유할 수 있다. 예를들어, 다른 임의의 첨가제는 악티닉(actinic) 및 컨트라스트(contrast) 염료, 광조 방지제(anti-striation agent), 가소제, 속도 증강제, 등을 포함한다. 이러한 임의의 첨가제는 전형적으로 포토레지스트 조성물에서 적은 농도로 존재할 것이나 이 중에서 충진제와 염료는 비교적 큰 농도 이를테면 레지스트 건조 성분의 전체 중량의 5 내지 30 중량%의 양으로 존재할 수 있다.
적합한 글리코릴과 같은 저염기도 가교결합제를 포함하는 본 발명의 반사방지 조성물은 트리플릭산, 캄포르 설포네이트 또는 다른 설폰산, 또는 약 2 또는 그 이하의 pKa(25℃)를 가진 다른 산과 같이 노출시 강산 광생성물을 생성하는 포토레지스트와 함께 특히 유용하다. 쉬플리사의 상기에 논의한 공개된 유럽출원을 참조한다.
사용할 때, 본 발명의 반사방지 조성물은 스핀(spin) 코팅과 같은 다양한 방법에 의해 기판에 코팅층으로서 도포된다. 일반적으로 반사방지 조성물은 약 0.02 내지 0.5 ㎛의 건조된 층 두께, 바람직하게는 약 0.04 내지 0.20 ㎛의 건조된 층 두께로 기판상에 도포되나. 기판은 적합하게는 포토레지스트에 관련한 방법에 사용된 기판이다. 예를들어, 기판은 실리콘, 이산화실리콘 또는 알루미늄-알루미늄 옥사이드 마이크로일렉트로닉 웨이퍼일 수 있다. 갈륨 아제나이드, 세라믹, 석영 또는 구리 기판이 또한 사용될 수 있다. 액정 디스플레이 또는 평판 디스플레이 응용예에 사용된 기판이 또한 적절히 사용되며, 예를들어 유리 기판, 인듐 틴 옥사이드가 코팅된 기판 등이다. 광학 및 광학-전자 장치(예 도파관)를 위한 기판이 또한 사용될 수 있다.
가교결합 반사방지 조성물이 사용되면(바람직하게는, 도포되면), 포토레지스트 조성물이 반사방지 조성물 위에 도포되기 전에 반사방지 코팅층을 경화시킨다. 경화 조건은 반사방지 조성무의 성분에 따라 달라질 것이다. 따라서, 조성물이 산 또는 열 산생성제를 함유하지 않으면, 경화 온도와 조건은 산 또는 산 생성제 화합물을 함유한 조성물의 온도와 조건 보다 더 강력할 것이다. 전형적인 경화 조건은 약 120℃ 내지 225℃에서 약 0.5 내지 40 분이다. 경화 조건은 바람직하게도 포토레지스트 용매 그외에 알칼리 수용액 현상액에 대해 반사방지 조성물 코팅층의 불용성을 실질적으로 부여한다.
상기에 설명한 바와 같이, 본 발명의 "복수-경화"법이 사용되면(보다 낮은 유동 열 처리가 사용되고 이어서 보다 높은 가교결합 처리가 사용되는 경우), 도포된 ARC 조성물층에 처음에 보다 낮은 온도의 수지 "유동" 평탄화 열처리를 수행하며, 이 때 열처리는 ARC 조성물의 유동 및 가교결합 온도가 달라질 것이다. 그러나, 적합한 유동 평탄화 열처리는 약 50℃ 또는 60℃에서 적어도 약 1 내지 2 분일 수 있다. 적합한 유동 평탄화 처리는 특정 ARC 조성물에 대해 실험적으로 쉽게 측정될 수 있다. 그후, ARC 조성물층을 보다 높은 온도로 처리하여 조성물을 가교결합시킬 수 있다.
이러한 경화 후에 포토레지스트를 반사방지 조성물의 표면 위에 도포한다. 반사방지 조성물의 도포와 같이, 포토레지스트는 스피닝, 딥핑, 메니스커스 또는 롤러 코팅에 의한 표준 수단에 의해 도포될 수 있다. 도포에 이어서, 포토레지스트 코팅층을 전형적으로 가열에 의해 건조시켜 바람직하게는 레지스트층이 점착성이 없을 때까지 용매를 제거한다. 최선으로는, 반사방지 조성물층과 포토레지스트층의 상호 혼합이 반드시 일어나지 않아야 한다.
그후 레지스트 층을 종래의 방식으로 마스크를 통한 활성화 조사선에 의해 이미지화한다. 노광 에너지는 레지스트 시스템의 광활성 성분을 효과적으로 활성화하여 레지시트 코팅층에 패턴화 이미지를 생성하고 게다가 반사방지조성물층의 두께 중 적어도 일부에 대해 포토애시드 생성제를 활성화하여 반사방지 조성물의 PAG로부터 광생성된 산이 반사방지 조성물/레지스트 코팅층 계면에 존재하는데 충분하다. 노광 에너지는 전형적으로 약 1 내지 300 mJ/cm2의 범위이며 부분적으로 노광 기구와 사용되는 특정 레지스트와 레지스트 처리에 따른다. ARC가 또한 바람직하지 않은 노칭과 푸팅을 감소시키기 위해 포토애시드 생성제를 함유하면, 일반적으로 레지스트층의 전형적인 이미지화를 위해 사용된 노광 회수는 하층 반사방지 조성물층에서 유효량의 산을 광활성화하는데 충분할 것이다.
노광된 레지스트 층을 노광후 베이크(bake)로 처리하여 필요하다면 코팅층의 노광부와 비노광부 사이의 용해도 차이를 생성하거나 증가시킬 수 있다. 예를들어, 네가티브 산-경화 포토레지스트는 전형적으로 산-촉진된 가교결합 반응을 유도하도록 노광후 가열을 필요로 하며, 많은 종류의 화학 증폭형 포지티브-작용 레지스트는 산-촉진된 탈보호 반응을 유도하도록 노광후 가열을 필요로 한다. 전형적으로 노광후 베이크 조건은 약 50℃ 또는 그 이상의 온도, 보다 구체적으로는 약 50℃ 내지 160℃의 온도를 포함한다.
그후 노광된 레지스트 코팅층을 바람직하게는 수성 현상액 이를테면 테트라부틸 암모늄 히드록사이드, 소디움 히드록사이드, 포타슘 히드록사이드, 소디움 카보네이트, 소디움 바이카보네이트, 소디움 실리케이트, 소디움 메타실리케이트, 암모니아수 등에 의해 구체화된 무기 알칼리에 의해 현상한다. 별도로, 유기 현상액이 사용될 수 있다. 일반적으로, 현상은 본 기술에서 인정된 방법에 따른다. 현상에 이어서, 산-경화 포토레지스트의 최종 베이트를 때로 약 100 내지 150℃에서 수분간 사용하여 추가로 현상된 노광 코팅층 영역을 경화시킨다.
그후 현상된 기판을 포토레지스트가 벗겨진 기판 영역에서 선택적으로 처리할 수 있으며, 예를들어 본 기술에 잘 알려진 방법에 따라 포토레지스트가 벗겨진 기판 영역을 화학적으로 에칭하거나 도금한다. 적합한 에칭제는 불화수소산 에칭액 및 산소 플라즈마 에칭과 같은 플라즈마 가스 에칭을 포함한다. 플라즈마 가스 에칭은 가교결합된 안티헬레이션(antihalation) 코팅층을 제고할 수 있다.
본 발명에서 언급된 모든 문헌은 참고내용에 속한다. 다음의 비제한적인 실시예는 본 발명을 예시한다.
실시예 1 - ARC 폴리머의 합성
9-안트라센메틸 메타아크릴레이트(155.63 g), 2-히드록시에틸 메타아크릴레이트(65.07 g), 및 메틸 메타아크릴레이트(65.62 g)를 에틸 락테이트 1850 g에 용해시켰다. 용액을 건조 질소류로 15 분간 탈기한다음 50℃로 가열하였다. 중합 개시제[2,2'-아조비스(2-메틸부탄니트릴)](23.217 g)를 에틸 락테이트 110 g에 용해시키고 이 용액을 반응 플라스크에 신속히 넣고; 85℃까지 계속 가열한다. 85℃에서 가열을 24 시간 유지하였다. 용액을 상온으로 냉각하였다. 폴리머 생성물을 탈이온수 12 L로 침전시켜 분리하고 진공 건조시켰다. 수율 100%. 분자량(Mw)(폴리스티렌 기준에 대해) 8355; Tg 103℃.
실시예 2 - 사슬 전이제와 함께 저 Mw ARC 폴리머의 합성
9-안트라센메틸 메타아크릴레이트(15.56 g), 2-히드록시에틸 메타아크릴레이트(6.51 g), 및 메틸 메타아크릴레이트(6.59 g)를 에틸 락테이트 200 g에 용해시켰다. t-도데실티올(2.01 g)을 사슬 전이제로서 첨가하였다. 용액을 건조 질소류로 10 분간 탈기한다음 50℃로 가열하고; 중합 개시제[2,2'-아조비스(2-메틸부탄니트릴)] 1.015 g을 첨가하고 85℃까지 계속 가열한다. 용액을 85℃에서 24 시간 가열하였다. 용액을 상온으로 냉각하고 추가의 에틸 락테이트 50 g으로 희석하였다. 폴리머 생성물을 헥산 2 L로 침전시켜 분리하고 진공 건조시켰다. 수율 86%. 분자량(Mw)(폴리스티렌 기준에 대해) 6304; Tg 101℃.
실시예 3 - 사슬 전이제와 함께 저 Mw ARC 폴리머의 합성
9-안트라센메틸 메타아크릴레이트(15.58 g), 2-히드록시에틸 메타아크릴레이트(6.51 g), 및 메틸 메타아크릴레이트(6.58 g)를 에틸 락테이트 200 g에 용해시켰다. t-도데실티올(4.06 g)을 사슬 전이제로서 첨가하였다. 용액을 건조 질소류로 10 분간 탈기한다음 50℃로 가열하고; 중합 개시제[2,2'-아조비스(2-메틸부탄니트릴)] 1.012 g을 첨가하고 85℃까지 계속 가열한다. 용액을 85℃에서 24 시간 가열하였다. 반응 용액을 t-부틸메틸에테르 800 mL에 침전시켰다. 혼합물을 여과시키고, 수집된 액체를 농축한 다음, 얻어진 오일을 헵탄 300 mL에 침전시키고 진공 건조시켰다. 수율 21%. 분자량(Mw)(폴리스티렌 기준에 대해) 2337; Tg 60℃.
실시예 4 - Tg가 낮은 모노머에 의해 ARC 폴리머의 합성
9-안트라센메틸 메타아크릴레이트(33.21 g), HEMA-5(즉 CH2=C(CH3)COO(CH2-CH2O)4CH2CH2OH)(15.36 g), 및 메틸 메타아크릴레이트(8.00 g)를 에틸 락테이트 430 g에 용해시켰다. 용액을 건조 질소류로 10 분간 탈기한다음 45℃로 가열하였다. 중합 개시제[2,2'-아조비스(2,4-디메틸펜탄니트릴)](5.58 g)을 첨가하고 85℃까지 계속 가열한다. 85℃에서 가열을 24 시간 유지하였다. 용액을 상온으로 냉각하였다. 폴리머 생성물을 탈이온수 2.5 L로 침전시켜 분리하고 진공 건조시켰다. 수율 79%. 분자량(Mw)(폴리스티렌 기준에 대해) 4963; Tg 50℃.
실시예 5 - 본 발명의 저분자량 수지 ARC 조성물의 제조와 처리
다음 성분을 혼합하여 저분자량 폴리머를 가진 본 발명의 바람직한 ARC 조성물을 적절히 제조하며, 성분의 양은 액체 반사방지 코팅 조성물의 고형분(용매 담체를 제외한 모든 성분)에 기초하여 중량부로 표시한다:
1) 수지: 상기 실시예 1의 터폴리머 88%
2) 가교결합제: Powderlink 1174(American Cyanamid) 11%
3) 포토애시드 생성제: 디-t-부틸 디페닐 요오도늄 캄포르설포네이트 0.5%
4) 산: p-톨루엔설폰산 모노하이드레이트 0.3%
ARC 조성물을 에틸 락테이트의 용매에서 배합한다. 액체 ARC 조성물을 실리콘 웨이퍼 기판상에 스핀 코팅한 다음 175℃에서 60 초간 열경화시킨다. 경화된 ARC 층을 쉬플리사(매사추세츠 말보로)로부터 얻을 수 있는 포지티브-작용 포토레지스트 UV5로 오버코팅한다. 레지스트층을 진공 핫 플레이트에서 소프트베이크하고, 패턴화 조사선에 노광하고, 노광후 베이크한 다음 알칼리 수용액으로 현상하였다. 하도층 ARC 층을 산소/플루오로카본 플라즈마로 에칭하고, 초벌(based) 기판 표면을 플라즈마 에칭한다.
실시예 6 - 가소제를 함유한 본 발명의 ARC 조성물의 제조와 처리
다음 성분을 혼합하여 가소제를 가진 본 발명의 바람직한 ARC 조성물을 적절히 제조하며, 성분의 양은 액체 반사방지 코팅 조성물의 전체 고형분(용매 담체를 제외한 모든 성분)에 기초하여 중량부로 표시한다:
1) 수지: 상기 실시예 1의 터폴리머 68%
2) 가소제: 2,6-비스(2',4'-디히드록시벤질)4-메틸페놀 20%
3) 가교결합제: Powderlink 1174(American Cyanamid) 11%
4) 포토애시드 생성제: 디-t-부틸 디페닐 요오도늄 캄포르설포네이트 0.5%
5) 산: p-톨루엔설폰산 모노하이드레이트 0.3%
ARC 조성물을 에틸 락테이트의 용매에서 배합한다. 액체 ARC 조성물을 실리콘 웨이퍼 기판상에 스핀 코팅한 다음 175℃에서 60 초간 열경화시킨다. 경화된 ARC 층을 쉬플리사(매사추세츠 말보로)로부터 얻을 수 있는 포지티브-작용 포토레지스트 UV5로 오버코팅한다. 레지스트층을 진공 핫 플레이트에서 소프트베이크하고, 패턴화 조사선에 노광하고, 노광후 베이크한 다음 알칼리 수용액으로 현상하였다. 하도층 ARC 층을 산소/플루오로카본 플라즈마로 에칭하고, 초벌 기판 표면을 플라즈마 에칭한다.
실시예 7 - 2 경화 시스템
다음 성분을 혼합하여 함유한 본 발명의 ARC 조성물을 제조하였다: 프로필렌 글리콜 모노메틸 에테르 용매 95.5 중량%; 및 Mw ∼12000을 가진 터폴리머(ANTMA/HEMA/MMA) 86.95 중량%, Powderlink 1174 가교결합제 11 중량%, 표면 평탄화제(3M 사로부터 FC430) 0.8 중량%, 디 tert-부틸 페닐 요오도늄 캄포르설포네이트의 PAG 0.5 중량%, 및 Nacure X49(King Industries) 열 산생성제 0.75%로 구성된 고형분 4.5 중량%.
이 ARC 조성물을 웨이퍼 기판상에 1200 옹스트롬 두께로 2500 rpm에서 스핀 코팅한 다음, 200℃에서 경화시켰다. 이로서 허용되는 레지스트 프로파일을 제공하였고, 248 nm 조사선을 가진 0.53NA 렌즈로서 9.2 mJ/cm2에 노광시켰다.
Nacure X49의 열 산생성제를 함유한 본 발명의 ARC로서 추가 시험한 결과 125℃ 경화후에 단지 약간만이 가교결합되었다(용매-에틸 락테이트에서 90% 상실).
본 발명은 딥 UV 응용예를 포함하여, 반사방지 코팅 조성물("ARC")로서 사용하는데 적합한 신규 흡광 조성물을 제공한다. 본 발명의 반사방지 조성물은 평탄화 코팅층을 필요로 하는 경우에 특히 유용하다.
이전에 설명한 본 발명의 상세한 설명은 그의 예시에 불과하고, 다음 청구범위에 제시된 본 발명의 범위 또는 정신에서 일탈함이 없이 변형과 수정이 가능하다는 사실이 이해된다.

Claims (22)

  1. (a) 기판상에 약 8,000 또는 그 이하의 분자량(Mw)을 가진 폴리머를 포함하는 반사방지 조성물 층을 도포하고;
    (b) 반사방지 조성물 층 위에 포토레지스트 조성물 층을 도포한 다음;
    (c) 포토레지스트 층을 활성화 조사선에 노광시키고 노광된 포토레지스트 층을 현상하는 것을 특징으로 하여, 지형(topography)을 가진 기판 위에 포토레지스트 릴리프(relief) 이미지를 형성하는 방법.
  2. 제 1 항에 있어서, 포토레지스트 조성물 층을 도포하기 전에 반사방지 층을 열경화시키는 방법.
  3. 제 1 항에 있어서, 폴리머가 약 6,000 또는 그 이하의 분자량(Mw)을 갖는 방법.
  4. 제 1 항에 있어서, 폴리머가 약 5,000 또는 그 이하의 분자량(Mw)을 갖는 방법.
  5. 제 1 항에 있어서, 폴리머가 약 3,000 또는 그 이하의 분자량(Mw)을 갖는 방법.
  6. 제 1 항에 있어서, 폴리머가 안트라센일 단위 또는 페닐 단위를 포함하는 방법.
  7. 제 1 항에 있어서, 반사방지 조성물이, 실리콘의 국소 산화 과정에 의해 형성된 경사 프로파일과 0.8 마이크론의 폭 및 2 마이크론의 중간점 깊이를 가진 계단 형상에 대해, 약 0.50 또는 그 이상의 평탄화도를 나타내는 방법.
  8. 제 1 항에 있어서, 반사방지 조성물이 추가로 가교결합제 화합물을 포함하는 방법.
  9. 제 1 항에 있어서, 반사방지 조성물이 추가로 가소제 화합물을 포함하는 방법.
  10. 제 9 항에 있어서, 가소제 화합물이 비폴리머성 화합물인 방법.
  11. 제 9 항에 있어서, 가소제 화합물이 페닐 또는 벤질 치환된 안트라센 화합물; 다중 아릴 치환체를 가진 화합물; 또는 페놀성 화합물인 방법.
  12. 제 9 항에 있어서, 가소제 화합물이 약 2,000 또는 그 이하의 Mw를 가진 올리고머인 방법.
  13. 제 8 항에 있어서, 반사방지 조성물이 반사방지 조성물의 실질적인 가교결합을 유도하는 온도 미만의 Tg를 가진 수지를 포함하는 방법.
  14. 제 13 항에 있어서, 반사방지 조성물을 도포한 후, 반사방지 조성물의 실질적인 가교결합 없이 반사방지 조성물을 대략 수지의 Tg로 가열하는 방법.
  15. (a) 가소제 화합물을 포함하는 반사방지 조성물 층을 기판상에 도포하고;
    (b) 반사방지 조성물 층 위에 포토레지스트 조성물 층을 도포한 다음;
    (c) 포토레지스트 층을 활성화 조사선에 노광하고 노광된 포토레지스트 층을 현상하는 것을 특징으로 하여, 지형을 가진 기판 위에 포토레지스트 릴리프 이미지를 형성하는 방법.
  16. (a) 반사방지 조성물의 가소제 화합물의 실질적인 가교결합을 유도하는 온도 미만의 Tg를 가진 수지를 포함하는 반사방지 조성물 층을 기판상에 도포하고;
    (b) 반사방지 조성물 층 위에 포토레지스트 조성물 층을 도포한 다음;
    (c) 포토레지스트 층을 활성화 조사선에 노광하고 노광된 포토레지스트 층을 현상하는 것을 특징으로 하여, 지형을 가진 기판 위에 포토레지스트 릴리프 이미지를 형성하는 방법.
  17. (a) 반사방지 조성물의 실질적인 가교결합을 유도하는 온도 미만의 Tg를 가진 수지를 포함하는 가교결합 반사방지 조성물 층을 기판상에 도포하고;
    (b) 반사방지 조성물의 실질적인 가교결합을 유도함이 없이 반사방지 조성물 층을 대략 적어도 수지의 Tg로 가열하고;
    (c) 포토레지스트 조성물 층을 반사방지 조성물 층 위에 도포한 다음;
    (d) 포토레지스트 층을 활성화 조사선에 노광하고 노광된 포토레지스트 층을 현상하는 것을 특징으로 하여, 지형을 가진 기판 위에 포토레지스트 릴리프 이미지를 형성하는 방법.
  18. 1) 약 8,000 또는 그 이하의 분자량(Mw)을 가진 폴리머; 2) 가소제, 및 3) 조성물의 실질적인 가교결합을 유도하는 온도 미만의 Tg를 가진 수지 중 하나 이상을 포함하는, 오버코팅된(overcoated) 포토레지스트와 함께 사용하기 위한 반사방지 코팅 조성물.
  19. 제 18 항에 있어서, 폴리머 또는 수지가 아크릴레이트 단위를 함유하는 반사방지 코팅 조성물.
  20. 1) 제 18 항의 반사방지 조성물의 코팅층; 및
    2) 포토레지스트의 코팅층을 기판상에 가짐을 특징으로 하는 코팅된 기판.
  21. 제 20 항에 있어서, 기판이 마이크로일렉트로닉(microelectronic) 웨이퍼 기판인 코팅된 기판.
  22. 제 20 항에 있어서, 기판이 평판 디스플레이 기판인 코팅된 기판.
KR1020000009313A 1999-03-08 2000-02-25 평탄화 반사방지 코팅 조성물 KR100869484B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/264,061 US6316165B1 (en) 1999-03-08 1999-03-08 Planarizing antireflective coating compositions
US09/264,061 1999-03-08

Publications (2)

Publication Number Publication Date
KR20010006699A true KR20010006699A (ko) 2001-01-26
KR100869484B1 KR100869484B1 (ko) 2008-11-19

Family

ID=23004401

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000009313A KR100869484B1 (ko) 1999-03-08 2000-02-25 평탄화 반사방지 코팅 조성물

Country Status (6)

Country Link
US (2) US6316165B1 (ko)
EP (1) EP1035442B1 (ko)
JP (1) JP4789300B2 (ko)
KR (1) KR100869484B1 (ko)
DE (1) DE60042353D1 (ko)
TW (1) TWI253544B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100696539B1 (ko) * 2005-10-11 2007-03-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널의 제조 방법
KR101027606B1 (ko) * 2002-10-08 2011-04-06 브레우어 사이언스 인코포레이션 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제
KR20160122140A (ko) * 2014-02-13 2016-10-21 다이니폰 인사츠 가부시키가이샤 광배향성을 갖는 열경화성 조성물, 배향층, 배향층을 갖는 기재, 위상차판 및 디바이스

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) * 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) * 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) * 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6323287B1 (en) * 1999-03-12 2001-11-27 Arch Specialty Chemicals, Inc. Hydroxy-amino thermally cured undercoat for 193 NM lithography
KR100465864B1 (ko) * 1999-03-15 2005-01-24 주식회사 하이닉스반도체 유기 난반사방지 중합체 및 그의 제조방법
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6883520B2 (en) * 1999-08-18 2005-04-26 Intrinsic Therapeutics, Inc. Methods and apparatus for dynamically stable spinal implant
KR100708491B1 (ko) 1999-08-26 2007-04-16 브레우어 사이언스 인코포레이션 듀얼 다마신 공정을 위한 개선된 충전 조성물을 포함하는 기판구조체, 충전조성물의 도포방법, 충전조성물의 적합성 결정방법, 및 전구체 구조체
US20040034134A1 (en) * 1999-08-26 2004-02-19 Lamb James E. Crosslinkable fill compositions for uniformly protecting via and contact holes
KR100557585B1 (ko) * 1999-10-29 2006-03-03 주식회사 하이닉스반도체 레지스트 플로우 공정용 포토레지스트 조성물 및 이를 이용한 컨택홀의 형성방법
JP4654544B2 (ja) * 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR20090057142A (ko) 2000-08-17 2009-06-03 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 내에칭성 반사방지 코팅 조성물
KR100734249B1 (ko) * 2000-09-07 2007-07-02 삼성전자주식회사 축합환의 방향족 환을 포함하는 보호기를 가지는 감광성폴리머 및 이를 포함하는 레지스트 조성물
WO2002025374A2 (en) * 2000-09-19 2002-03-28 Shipley Company, L.L.C. Antireflective composition
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR20030006956A (ko) * 2001-05-11 2003-01-23 쉬플리 캄파니, 엘.엘.씨. 반사방지 코팅 조성물
TW576859B (en) * 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
GB0114265D0 (en) * 2001-06-12 2001-08-01 Ciba Sc Holding Ag Polymeric material containing a latent acid
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US7326509B2 (en) * 2001-08-20 2008-02-05 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating for use in lithography
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US7425347B2 (en) 2001-10-10 2008-09-16 Nissan Chemical Industries, Ltd Composition for forming anti-reflective coating for use in lithography
KR20040066124A (ko) 2001-11-15 2004-07-23 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 반사 방지 피막
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US20030215736A1 (en) * 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
EP1484645A4 (en) * 2002-02-19 2008-12-17 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTIREFLECTION COATING
TW523807B (en) * 2002-03-21 2003-03-11 Nanya Technology Corp Method for improving photolithography pattern profile
US8012670B2 (en) 2002-04-11 2011-09-06 Rohm And Haas Electronic Materials Llc Photoresist systems
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US7265431B2 (en) * 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
JP3852593B2 (ja) 2002-07-17 2006-11-29 日産化学工業株式会社 反射防止膜形成組成物
JP3597523B2 (ja) * 2002-08-27 2004-12-08 東京応化工業株式会社 リソグラフィー用下地材
JP2006500769A (ja) * 2002-09-20 2006-01-05 ハネウェル・インターナショナル・インコーポレーテッド 低k材料用の中間層接着促進剤
US20040067437A1 (en) * 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
JP2004177952A (ja) * 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
KR20040044369A (ko) 2002-11-20 2004-05-28 쉬플리 캄파니, 엘.엘.씨. 다층 포토레지스트 시스템
JP4221610B2 (ja) 2003-02-21 2009-02-12 日産化学工業株式会社 アクリル系ポリマーを含有するリソグラフィー用ギャップフィル材形成組成物
CN1768306B (zh) 2003-04-02 2011-12-14 日产化学工业株式会社 含有环氧化合物和羧酸化合物的光刻用形成下层膜的组合物
KR101148918B1 (ko) 2003-04-17 2012-05-22 닛산 가가쿠 고교 가부시키 가이샤 다공질 하층막 및 다공질 하층막을 형성하기 위한 하층막형성 조성물
JP4105036B2 (ja) * 2003-05-28 2008-06-18 信越化学工業株式会社 レジスト下層膜材料ならびにパターン形成方法
JP4069025B2 (ja) * 2003-06-18 2008-03-26 信越化学工業株式会社 レジスト下層膜材料ならびにパターン形成方法
US7361447B2 (en) * 2003-07-30 2008-04-22 Hynix Semiconductor Inc. Photoresist polymer and photoresist composition containing the same
TWI363251B (en) 2003-07-30 2012-05-01 Nissan Chemical Ind Ltd Sublayer coating-forming composition for lithography containing compound having protected carboxy group
US7303855B2 (en) * 2003-10-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
TWI360726B (en) * 2003-10-30 2012-03-21 Nissan Chemical Ind Ltd Sublayer coating-forming composition containing de
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
KR20070029157A (ko) * 2004-03-12 2007-03-13 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 리소그래피 적용을 위한 열경화된 언더코트
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP4945091B2 (ja) * 2004-05-18 2012-06-06 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. オーバーコートされるフォトレジストと共に用いるためのコーティング組成物
US7427464B2 (en) * 2004-06-22 2008-09-23 Shin-Etsu Chemical Co., Ltd. Patterning process and undercoat-forming material
CN101048705B (zh) 2004-11-01 2010-11-10 日产化学工业株式会社 含环糊精化合物的形成光刻用下层膜的组合物
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
EP1850180A4 (en) 2005-01-21 2009-12-30 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING A LAYERING LINEOGRAPHY FILM CONTAINING A CARBOXYL PROTECTED COMPOSITION
EP1691238A3 (en) 2005-02-05 2009-01-21 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
EP1705519B1 (en) * 2005-03-20 2016-07-06 Rohm and Haas Electronic Materials, L.L.C. Method of treating a microelectronic substrate
WO2006115044A1 (ja) 2005-04-19 2006-11-02 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのレジスト下層膜形成組成物
EP1762895B1 (en) * 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
JP4666166B2 (ja) * 2005-11-28 2011-04-06 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
CN101322074B (zh) * 2005-12-06 2013-01-23 日产化学工业株式会社 用于形成光交联固化的抗蚀剂下层膜的含有硅的抗蚀剂下层膜形成用组合物
US7745104B2 (en) 2006-08-10 2010-06-29 Shin-Etsu Chemical Co., Ltd. Bottom resist layer composition and patterning process using the same
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
WO2008026468A1 (fr) 2006-08-28 2008-03-06 Nissan Chemical Industries, Ltd. Composition servant à créer une sous-couche de réserve et contenant un additif liquide
EP2085822A4 (en) 2006-10-12 2011-03-16 Nissan Chemical Ind Ltd SEMICONDUCTOR ELEMENT PROCESSING PROCESS USING A SUB-RESISTANT FILM CURED BY PHOTO-NETWORKING
US7727705B2 (en) * 2007-02-23 2010-06-01 Fujifilm Electronic Materials, U.S.A., Inc. High etch resistant underlayer compositions for multilayer lithographic processes
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP4809376B2 (ja) 2007-03-09 2011-11-09 信越化学工業株式会社 反射防止膜材料およびこれを用いたパターン形成方法
JP4809378B2 (ja) 2007-03-13 2011-11-09 信越化学工業株式会社 レジスト下層膜材料およびこれを用いたパターン形成方法
US8017296B2 (en) * 2007-05-22 2011-09-13 Az Electronic Materials Usa Corp. Antireflective coating composition comprising fused aromatic rings
WO2008143302A1 (ja) 2007-05-23 2008-11-27 Jsr Corporation レジスト下層膜形成用組成物
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
EP2247665A2 (en) * 2008-02-25 2010-11-10 Honeywell International Inc. Processable inorganic and organic polymer formulations, methods of production and uses thereof
US7989144B2 (en) * 2008-04-01 2011-08-02 Az Electronic Materials Usa Corp Antireflective coating composition
US7932018B2 (en) * 2008-05-06 2011-04-26 Az Electronic Materials Usa Corp. Antireflective coating composition
US20100119980A1 (en) * 2008-11-13 2010-05-13 Rahman M Dalil Antireflective Coating Composition Comprising Fused Aromatic Rings
US20100119979A1 (en) * 2008-11-13 2010-05-13 Rahman M Dalil Antireflective Coating Composition Comprising Fused Aromatic Rings
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20100316949A1 (en) * 2009-06-10 2010-12-16 Rahman M Dalil Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8486609B2 (en) * 2009-12-23 2013-07-16 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5874722B2 (ja) * 2011-02-28 2016-03-02 Jsr株式会社 パターン形成方法
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6146305B2 (ja) * 2011-10-12 2017-06-14 Jsr株式会社 パターン形成方法
EP2841513B1 (en) 2012-04-23 2018-02-14 Brewer Science, Inc. Photosensitive, developer-soluble bottom anti-reflective coating material
EP2770373A1 (en) * 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
JP6357231B2 (ja) * 2013-11-08 2018-07-11 東京エレクトロン株式会社 化学的研磨平坦化の方法
JP5668881B1 (ja) * 2014-04-14 2015-02-12 大日本印刷株式会社 光配向性を有する熱硬化性組成物、配向層、配向層付基材、位相差板およびデバイス
US9601325B2 (en) * 2014-06-24 2017-03-21 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
KR102421597B1 (ko) * 2015-07-14 2022-07-18 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 레지스트 패턴의 형성 방법

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4060656A (en) 1973-04-02 1977-11-29 Teijin Limited Support for photosensitive resin
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4621042A (en) * 1985-08-16 1986-11-04 Rca Corporation Absorptive planarizing layer for optical lithography
US4668606A (en) * 1985-11-20 1987-05-26 Eastman Kodak Company Positive photoresist with antireflection coating having thermal stability
EP0436639B1 (en) 1988-09-28 1998-01-14 Brewer Science, Inc. Multifunctional photolithographic compositions
US5281690A (en) 1989-03-30 1994-01-25 Brewer Science, Inc. Base-soluble polyimide release layers for use in microlithographic processing
US6165697A (en) * 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
WO1994019396A1 (en) 1992-02-12 1994-09-01 Brewer Science, Inc. Polymers with intrinsic light-absorbing properties
US5234990A (en) * 1992-02-12 1993-08-10 Brewer Science, Inc. Polymers with intrinsic light-absorbing properties for anti-reflective coating applications in deep ultraviolet microlithography
JP2694097B2 (ja) * 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
SG52770A1 (en) 1992-07-10 1998-09-28 Hoechst Celanese Corp Metal ion reduction in top anti-reflective coatings for photoresists
US5498748A (en) * 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3382028B2 (ja) * 1993-09-10 2003-03-04 株式会社東芝 薄膜形成方法
JP2803549B2 (ja) 1993-12-21 1998-09-24 信越化学工業株式会社 光反射性防止材料及びパターン形成方法
US5597868A (en) 1994-03-04 1997-01-28 Massachusetts Institute Of Technology Polymeric anti-reflective compounds
JP2953562B2 (ja) 1994-07-18 1999-09-27 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いた多層レジスト材料
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
US5663036A (en) * 1994-12-13 1997-09-02 International Business Machines Corporation Microlithographic structure with an underlayer film comprising a thermolyzed azide
US5837417A (en) * 1994-12-30 1998-11-17 Clariant Finance (Bvi) Limited Quinone diazide compositions containing low metals p-cresol oligomers and process of producing the composition
JPH08241858A (ja) * 1995-01-25 1996-09-17 Toshiba Corp 半導体の反射防止膜及びこの反射防止膜を用いた半導体の製造方法
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
JP3781471B2 (ja) * 1996-03-13 2006-05-31 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 反射防止組成物及びこれを用いる感光膜の形成方法
JP3827762B2 (ja) * 1996-03-26 2006-09-27 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 反射防止組成物及びレジストパターン形成方法
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5886102A (en) * 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5652297A (en) 1996-08-16 1997-07-29 Hoechst Celanese Corporation Aqueous antireflective coatings for photoresist compositions
US5652317A (en) * 1996-08-16 1997-07-29 Hoechst Celanese Corporation Antireflective coatings for photoresist compositions
JP4145959B2 (ja) * 1996-09-18 2008-09-03 Azエレクトロニックマテリアルズ株式会社 光吸収性ポリマー、その合成方法、そのポリマーを用いた皮膜形成組成物および反射防止膜
US5733714A (en) * 1996-09-30 1998-03-31 Clariant Finance (Bvi) Limited Antireflective coating for photoresist compositions
JPH10120940A (ja) * 1996-10-18 1998-05-12 Fuji Photo Film Co Ltd 反射防止膜用組成物
JP3851414B2 (ja) * 1997-06-04 2006-11-29 富士写真フイルム株式会社 反射防止膜材料組成物及びこれを用いたレジストパターン形成方法
US6468718B1 (en) * 1999-02-04 2002-10-22 Clariant Finance (Bvi) Limited Radiation absorbing polymer, composition for radiation absorbing coating, radiation absorbing coating and application thereof as anti-reflective coating
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
JP4053631B2 (ja) * 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US5935760A (en) * 1997-10-20 1999-08-10 Brewer Science Inc. Thermosetting polyester anti-reflective coatings for multilayer photoresist processes
WO1999025766A2 (en) * 1997-11-13 1999-05-27 H.B. Fuller Licensing & Financing, Inc. Radiation curable compositions comprising metallocene polyolefins
US6391786B1 (en) * 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6114085A (en) * 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6106995A (en) * 1999-08-12 2000-08-22 Clariant Finance (Bvi) Limited Antireflective coating material for photoresists
TW556047B (en) * 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101027606B1 (ko) * 2002-10-08 2011-04-06 브레우어 사이언스 인코포레이션 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제
KR100696539B1 (ko) * 2005-10-11 2007-03-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널의 제조 방법
KR20160122140A (ko) * 2014-02-13 2016-10-21 다이니폰 인사츠 가부시키가이샤 광배향성을 갖는 열경화성 조성물, 배향층, 배향층을 갖는 기재, 위상차판 및 디바이스

Also Published As

Publication number Publication date
DE60042353D1 (de) 2009-07-23
EP1035442A2 (en) 2000-09-13
EP1035442A3 (en) 2001-05-02
US6855466B2 (en) 2005-02-15
US6316165B1 (en) 2001-11-13
JP4789300B2 (ja) 2011-10-12
KR100869484B1 (ko) 2008-11-19
EP1035442B1 (en) 2009-06-10
JP2000294504A (ja) 2000-10-20
US20020022196A1 (en) 2002-02-21
TWI253544B (en) 2006-04-21

Similar Documents

Publication Publication Date Title
KR100869484B1 (ko) 평탄화 반사방지 코팅 조성물
US6653049B2 (en) High conformality antireflective coating compositions
EP0813114B1 (en) Antireflective coating compositions
KR100537381B1 (ko) 광산 발생제를 포함하는 반사 방지 조성물, 당해 조성물과 감광성 내식막이 피복된 기판 및 감광성 내식막 릴리프 화상의 형성방법
KR100613672B1 (ko) 원자외선 포토레지스트용 반사 방지 조성물
KR101203219B1 (ko) 반사방지 코팅 조성물
KR100932113B1 (ko) 반사방지 코팅 조성물
KR20020071839A (ko) 포토레지스트 조성물용 반사방지 코팅
KR100929042B1 (ko) 내에칭성 반사방지 코팅 조성물
KR20080028335A (ko) 포토리소그래피용 코팅 조성물
KR20030006956A (ko) 반사방지 코팅 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131017

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141023

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181018

Year of fee payment: 11