KR101027606B1 - 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제 - Google Patents

다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제 Download PDF

Info

Publication number
KR101027606B1
KR101027606B1 KR1020057006151A KR20057006151A KR101027606B1 KR 101027606 B1 KR101027606 B1 KR 101027606B1 KR 1020057006151 A KR1020057006151 A KR 1020057006151A KR 20057006151 A KR20057006151 A KR 20057006151A KR 101027606 B1 KR101027606 B1 KR 101027606B1
Authority
KR
South Korea
Prior art keywords
composition
compound
group
solvent system
layer
Prior art date
Application number
KR1020057006151A
Other languages
English (en)
Other versions
KR20050062606A (ko
Inventor
찰스 제이. 니프
만다르 바베
미셀 파울러
미셀 윈저
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20050062606A publication Critical patent/KR20050062606A/ko
Application granted granted Critical
Publication of KR101027606B1 publication Critical patent/KR101027606B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09BORGANIC DYES OR CLOSELY-RELATED COMPOUNDS FOR PRODUCING DYES, e.g. PIGMENTS; MORDANTS; LAKES
    • C09B69/00Dyes not provided for by a single group of this subclass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31511Of epoxy ether
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31511Of epoxy ether
    • Y10T428/31515As intermediate layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Paints Or Removers (AREA)

Abstract

본 발명은 고분자량 중합체 대신에 소분자(예를 들어, 약 5,000 g/몰 미만)를 포함하는 신규한 반사 방지 코팅제 및 이들 코팅제를 사용하는 방법에 관한 것이다. 한 구체예에서, 방향족 카르복실산은 발색단으로 이용되며, 생성된 화합물은 가교제 및 산과 혼합된다. 본 발명에 따라 제조된 반사 방지 코팅 필름은 고분자량 중합체 반사 방지 코팅 필름에 비해 개선된 특성을 나타낸다. 소분자 반사 방지 코팅제는 높은 에칭율을 보유하며 양호한 충전 특성을 나타낸다. 포토리쏘그래픽 공정을 본 발명에 따라 수행하여 독립적인 110 nm 프로필을 생성할 수 있다.

Description

다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된 바닥 반사 방지 코팅제{BOTTOM ANTI-REFLECTIVE COATINGS DERIVED FROM SMALL CORE MOLECULES WITH MULTIPLE EPOXYMOIETIES}
관련 출원
본 출원은 본원에 참고 인용하는 미국 가출원 60/417,214(발명의 명칭: 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된 바닥 반사 방지 코팅제)의 우선권 주장 출원이다.
발명의 분야
본 발명은 광의로 중합체 수지 결합체 대신에 저분자량 코어 분자를 포함하는 반사 방지 코팅제에 관한 것이다. 한 구체예에서, 상기 소 코어 분자는 결합된 발색단을 가진 에폭시를 포함한다.
집적 회로 제조사들은 웨이퍼 크기를 최대화하고, 디바이스 형상 치수를 최소화하여 수율을 개선시키고, 유닛 케이스를 감소시키고, 온-칩 컴퓨팅 파워를 증가시키기 위해 지속적으로 연구하고 있다. 실리콘 칩 상의 디바이스 형상 크기는 고도의 원자외선(DUV) 마이크로리쏘그래피 공정의 출현에 따라 현재 마이크론 이하이다. 그러나, 포토레지스트 노광중 기판 반사율을 1% 미만으로 감소시키는 것은 이러한 마이크론이하의 형상의 치수 조절에서 결정적이다. 따라서, 반사 방지 코팅제로 공지된 광 흡수 유기 중합체를 포토레지스트 층 아래에 도포하여 포토레지스트 DUV 노광중에 반도체 기판에서 통상적으로 발생하는 반사율을 감소시킨다.
종래의 반사 방지 코팅층은 수지에 부착되거나 수지와 혼합된 광 흡수 발색단을 가진 중합체 수지를 함유한다. 높은 광학 밀도는 이들 중합체가 효과적인 반사율 제어를 할 수 있도록 함에도 불구하고, 이들은 또한 많은 결점을 갖고 있다. 예를 들어, 이들 중합체는 중합체 혼합 중에 문제를 일으키는 고분자량 중합체이다. 더 구체적으로, 이들 중합체의 사용은 특히 포토리쏘그래피 공정에서 사용되는 포토레지스트에 대한 반사 방지 코팅제의 주문 제작을 방해한다. 주문 제작은 매우 중요한데, 그 이유는 주문 제작은 더 곧은 프로필 및 반사 방지 코팅제에 대한 포토레지스트의 더 양호한 접착을 가능하게 하여 더 양호한 성능을 발휘하도록 할 수 있기 때문이다.
따라서, 종래의 중합체성 반사 방지 코팅제와 관련된 문제점을 최소화하거나 회피하는 신규한 반사 방지 코팅제에 대한 요구가 존재한다.
발명의 개요
본 발명은 광의로 저분자량 성분들을 포함하는 코팅 조성물을 제공함으로써 종래 기술의 코팅과 관련된 문제점을 극복한다.
구체적으로, 본 발명의 조성물은 용매계에 용해 또는 분산된 다수의 성분들, 화합물들, 구성요소들 등을 포함한다. 이들 성분들의 약 95% 이상, 바람직하게는 약 98% 이상 및 더욱 더 바람직하게는 약 100% 이상은 성분 1몰 당 약 5,000 g 미만의 분자량, 바람직하게는 성분 1몰 당 약 2,000 g 미만의 분자량, 더욱 더 바람직하게는 성분 1몰 당 약 1,000 g 미만의 분자량을 보유한다. 이들 저분자량 성분들은 반사 방지 코팅제에 전형적으로 존재하는 중합체 수지 대신 사용되어 중합체 혼합(polymer blending)과 관련된 전형적인 문제점들을 회피한다.
한 구체예에서, 반사 방지 코팅 조성물은 각각의 광 감쇠 부분에 각각 개별적으로 결합된 2개 이상의 에폭시 부분을 포함하는 화합물을 포함한다. 더욱 더 바람직하게는, 상기 화합물은 각각의 광 감쇠 부분과 결합된 3개 이상의 에폭시 부분을 포함한다. 광 감쇠 부분과 후속 결합하기 위한 2개 이상의 에폭시 부분을 포함하는 바람직한 전구 화합물의 예는 다음과 같다:
Figure 112005018532536-pct00001
사용된 광 감쇠 부분은 에폭시와의 결합을 위한 카르복시부를 보유하는 발색단을 포함하는 것이 바람직하다. 바람직한 발색단으로는 고리형 화합물(및 특히 방향족 화합물) 및 지방족(바람직하게는, C1-12 및 더 바람직하게는 C1-8) 산을 들 수 있다. 본 발명에 따라 광 감쇠 부분으로서 작용하는 바람직한 전구 화합물의 예는 다음과 같다:
Figure 112005018532536-pct00002
상기 식 중, R, X 및/또는 Y는 개별적으로 알킬(바람직하게는, C1-12 및 더 바람직하게는 C1-8), 아릴(바람직하게는 C4-20 및 더 바람직하게는 C6-14), 에테르, 시아노, 니트로, 설포닐, 설폰, 설포네이트, 클로로, 플루오로, 브로모, 요오도, 카르보닐, 아미노 및 티오에티르 기로 구성되는 군으로부터 선택된다.
본 발명의 저분자량 화합물은 상기 전구 에폭시 화합물과 전구 광 감쇠 화합물을 용매 및 통상적으로 촉매의 존재 하에서 반응시킴으로써 형성한다. 이와 같은 반응 하나를 다음에 소개한다.
Figure 112005018532536-pct00003
따라서, 한 구체예에서, 본 발명의 화합물은 하기 화학식 I의 화합물일 것이다.
Figure 112005018532536-pct00004
상기 식 중에서,
각각의 X는 발색단이고;
각각의 R은 수소 및 C1-8 알킬기로 구성되는 군으로부터 선택되고;
n은 2 이상(더 바람직하게는 3 이상)이다.
X는 벤젠, 안트라센, 나프탈렌 및 알킬(바람직하게는 C1-12 및 더 바람직하게는 C1-8)로 구성되는 군으로부터 선택되는 것이 바람직하다.
상기 화학식 I에서 하기 화학식 II로 표시된 부분의 구조는 결정적인 것은 아니나, 이는 2개 이상의 기
Figure 112005018532536-pct00005
와 결합할 수 있는 것이어야 한다.
Figure 112005018532536-pct00006
바람직한 (II) 기는 고리형, 비고리형, 지방족 및 방향족 화합물 및 이의 작용 부분으로 구성되는 군으로부터 선택된 것을 들 수 있다. 본원에 사용된 바와 같이, 구조의 일부분을 기술하기 위한 특정 화합물에 대한 참조는 참조한 화합물 뿐만아니라 구조의 작용 부분을 의미하도록 의도한 것이다. 본원에 사용한 용어 "작용 부분"은 구조가 변경되어 다른 화합물과 결합할 수 있도록 한 화합물의 부분을 의미한다. 예를 들어, 벤젠의 작용 부분은 하나 이상의 수소 원자가 제거되어 벤젠 고리의 탄소 원자가 다른 화합물 또는 부분과 결합할 수 있도록 한 벤젠 고리를 포함한다.
상기 구체예와는 무관하게, 이들 화합물은 마이크로리쏘그래피 공정에 사용하기 위한 조성물(예를 들어, 반사 방지 코팅제)을 제조하기 위해 사용할 수 있다. 상기 조성물은 바람직하게는 상온 조건 및 충분한 시간 동안 적합한 용매계에서 화합물(들)을 단순히 분산시키거나 용해시켜 실질적으로 균질한 분산액을 형성함으로써 형성된다. 바람직한 조성물은 100 중량%로 취한 상기 조성물중 고체의 총 중량을 기준으로 상기 화합물 약 1∼50 중량%, 및 바람직하게는 상기 화합물 약 2∼20 중량%를 포함한다.
상기 용매계는 마이크로전자공학적 제조 환경에서 사용하기에 적합한 임의의 용매를 포함할 수 있다. 바람직한 용매계는 프로필렌 글리콜 모노메틸 에테르(PGME), 프로필렌 글리콜 모노에틸 에테르 아세테이트(PGMEA), 에틸 락테이트, 프로필렌 글리콜 n-프로필 에테르(PnP), 시클로헥사논, 테트라히드로푸란(THF), 디메틸 포름아미드(DMF), γ-부티로락톤 및 이의 혼합물로 구성되는 군으로부터 선택되는 용매를 포함한다. 바람직하게는, 상기 용매계의 비등점은 약 100∼200℃이다.
또한, 상기 화합물과 함께 임의의 추가 성분이 상기 용매계에 분산되는 것이 바람직하다. 적합한 추가 성분의 예로는 가교제, 촉매 및 계면활성제를 들 수 있다. 바람직한 가교제로는 아미노플라스트(예를 들어, POWDERLINK(등록상표) 1174, Cymel(등록상표) 제품), 다기능성 에폭시 수지(예를 들어, MY720, CY179MA, DENACOL), 무수물 및 이의 혼합물을 들 수 있다. 가교제는 상기 조성물 내에 100 중량%로 취한 상기 조성물중 고체의 총 중량을 기준으로 약 25 중량% 미만, 바람직하게는 약 0.05∼10 중량%의 수준으로 존재하여야만 한다. 따라서, 본 발명의 조성물은 약 100∼250℃, 더 바람직하게는 약 150∼205℃의 온도에서 가교되어야 한다.
바람직한 촉매의 예로는 설폰산(예를 들어, p-톨루엔설폰산, 스티렌 설폰산), 열 산 생성제(예를 들어, 피리디늄 토실레이트), 카르복실산(예를 들어, 트리클로로아세트산, 벤젠 테트라카르복실산) 및 이의 혼합물을 들 수 있다. 상기 촉매는 상기 조성물 내에 100 중량%로 취한 상기 조성물중 고체의 총 중량을 기준으로 약 10 중량% 미만, 바람직하게는 약 0.01∼5 중량%의 수준으로 존재하여야만 한다.
본 발명의 조성물을 기판(예를 들어, Si, Al, W, WSi, GaAs, SiGe, Ge, Ta, 및 TaN 웨이퍼)에 도포하는 방법은 스핀 코팅을 포함하는 종래 통상적인 방법에 의해 기판 표면(편평한 표면 또는 내부에 바이어스 또는 홀을 포함하는 표면)에 본 발명의 조성물 일정량을 도포하는 단계를 포함한다. 이어서, 상기 층은 상기 조성물의 대략 가교 온도 이상의 온도(예를 들어, 약 150∼205℃)로 가열하여 타원계(ellipsometer)로 5회 측정한 측정치의 평균으로 한정한 두께가 어디서나 약 100∼5,000Å인 층을 경화(cure 또는 harden)시켜야만 한다. 이어서, 포토레지스트를 경화된 재료에 도포하고, 그 후 포토레지스트를 노광시키고, 현상하고, 에칭하는 단계를 수행한다.
본 발명의 반사 방지 코팅제는 높은 에칭 속도를 보유한다. 따라서, 경화된 반사 방지 코팅제는 CF4를 에칭제로서 사용하는 경우 약 10Å/초 이상의 에칭 속도를 보유하며, 바람직하게는 약 11∼15Å/초의 에칭 속도를 보유한다. 또한, 약 193 nm에서 본 발명의 조성물로 형성되며 두께가 약 320Å인 경화된 층은 약 0.40 이상, 바람직하게는 약 0.50의 k 값(즉, 복합 굴절 지수의 가상 성분)을 가질 것이며, 약 1.5 이상, 바람직하게는 약 1.7 이상의 n 값(즉, 복합 굴절 지수의 실제 성분)을 가질 것이다. 즉, 경화된 코팅제는 약 157, 193, 248 및 365 nm의 파장 및 약 320Å의 층 두께에서 광의 약 95% 이상을 흡수할 것이다. 상기 코팅제는 193 nm에서 약 150 ㎛ 미만, 바람직하게는 약 100 ㎛ 미만의 해상도를 얻기 위해 사용할 수 있다.
본 발명의 반사 방지 코팅 조성물에 대해 스핀 보울 상용성 테스트(spin bowl compatability test)를 수행하는 경우, 이들은 약 90% 이상, 바람직하게는 약 95% 이상의 결과를 얻을 것이다. 본원에 사용한 바와 같이, 스핀 보울 상용성은 상기 조성물로 웨 이퍼를 코팅함으로써 측정한다. 코팅후, 상기 웨이퍼는 소성하지 않으나, 대신 웨이퍼 카세트 내에 위치시킨다. 코팅된 표면은 상향으로 위치시켜 필름 유동을 방지하고, 샘플은 세정실(주위 조건)에서 약 24 시간 동안 건조하여 약 1300Å 두께의 필름을 얻는다. 상기 샘플 두께는 각각의 웨이퍼 상에서 측정하였으며, 초기 샘플 두께로 지정하였다.
이어서, 코팅된 웨이퍼는 테스트 용매, 예를 들어 PGMEA에 노출시킨다. 이는 샘플 웨이퍼를 스피너상의 중앙에 위치시키고, 이어서 웨이퍼의 전체 표면 상에 고르게 용매를 코팅함으로써 수행한다. 상기 샘플은 3분 동안 침지한 후, 약 1500 rpm에서 약 1초 동안 스핀 건조시킨다. 스핀 건조후, 웨이퍼 상의 상기 샘플의 두께를 측정하고, 최종 두께로 지정하였다.
이어서, 용해율(%)은 다음과 같이 계산하였다:
용해율(%) = [(초기 샘플 두께 - 최종 샘플 두께)/(초기 샘플 두께)] * 100
최종적으로, 본 발명에 따라 경화된 반사 방지층은 반사 방지층에 후속 도포되는 포토레지스트층 내에서 전형적으로 사용되는 용매(예를 들어, 에틸 락테이트, PGMEA) 중에서 실질적으로 불용성이다. 즉, 상기 층의 두께는 포토레지스트 용매와 (약 20초 동안)의 접촉후 약 10% 미만, 바람직하게는 약 1% 미만 만큼 변화할 것이다. 본원에 사용한 바와 같이 변화율은 다음과 같이 정의한다.
변화율(%) = [(용매 접촉전의 두께 - 용매 접촉후의 두께)/(용매 접촉전의 두께)] * 100
도 1은 이중 상감 공법(dual damascene process)을 이용하고 본 발명의 반사 방지 코팅 조성물을 이용하여 제조한 웨이퍼의 단편을 주사 전자 현미경(SEM)으로 촬영한 사진이다.
도 2는 본 발명의 반사 방지 코팅 조성물을 이용하여 제조한 웨이퍼의 횡단면도를 촬영한 SEM 사진이다.
하기 실시예는 본 발명에 따른 바람직한 방법을 기술한다. 그러나, 이들 실시예는 본 발명을 예시하기 위해 제공된 것이며, 이들로 본 발명의 범위를 제한하려는 의도는 없는 것으로 이해되어야 한다. 방향족 카르복실산은 다수의 에폭시 부분을 가진 코어 분자에 그라프팅시켰다. 이어서, 이들 재료를 가교제(예를 들어, Powderlink(등록상표), Cymel(등록상표), 에폭시) 및 산 촉매(예를 들어, 톨루엔 설폰산, 피리디늄 토실레이트 등)와 혼합하여 반사 방지 코팅제를 제조하였다.
실시예 1
트리스(2,3-에폭시프로필)이소시아누레이트에 그라프팅된 4-히드록시벤조산
1. 모액의 제조
트리스(2,3-에폭시프로필)이소시아누레이트(17.84 g), 4-히드록시벤조산 (24.86 g), 염화벤질트리에틸암모늄(1.03 g) 및 프로필렌 글리콜 n-프로필 에테르(384.3 g)를 둥근 바닥 플라스크에 첨가하였다. 질소 분위기를 조성하고, 반응물을 120℃에서 16 시간 동안 가열하였다. 상기 용액을 냉각한 후 병에 넣었다.
2. 반사 방지 코팅제의 제조
본 실시예의 상기 1.에서 제조한 모액(20 g)을 Powderlink(등록상표) 1174 (0.50 g, Cytec Industries에서 시판되는 가교제), p-톨루엔 설폰산(0.06 g), 프로필렌 글리콜 n-프로필 에테르(10.84 g) 및 에틸 락테이트(28.84 g)와 혼합하였다. 상기 용액은 사용전에 0.1 ㎛ PTFE 필터를 통해 여과하였다.
실시예 2
트리스(2,3-에폭시프로필)이소시아누레이트에 그라프팅된 3-클로로벤조산
1. 모액의 제조
트리스(2,3-에폭시프로필)이소시아누레이트(2.97 g), 3-클로로벤조산(4.70 g), 염화벤질트리에틸암모늄 (0.17 g) 및 프로필렌 글리콜 n-프로필 에테르(69.03 g)를 둥근 바닥 플라스크에 첨가하였다. 질소 분위기를 조성하였으며, 반응물은 120℃에서 16 시간 동안 가열하였다. 상기 용액을 냉각한 후 병에 넣었다.
2. 반사 방지 코팅제의 제조
본 실시예의 상기 1.에서 제조한 모액(20 g)을 Powderlink(등록상표) 1174 (0.50 g), p-톨루엔 설폰산(0.06 g), 프로필렌 글리콜 n-프로필 에테르(10.84 g) 및 에틸 락테이트(28.84 g)와 혼합하였다. 상기 용액은 사용전에 0.1 ㎛ PTFE 필터를 통해 여과하였다.
실시예 3
트리스(2,3-에폭시프로필)이소시아누레이트에 그라프팅된 벤조산 및 4-히드록시벤조산
1. 모액의 제조
트리스(2,3-에폭시프로필)이소시아누레이트(2.97 g), 벤조산(1.83 g), 4-히드록시벤조산(2.07 g), 염화벤질트리에틸암모늄(0.17 g) 및 프로필렌 글리콜 n-프로필 에테르(61.83 g)를 둥근 바닥 플라스크에 첨가하였다. 질소 분위기를 조성하였으며, 반응물은 120℃에서 16 시간 동안 가열하였다. 상기 용액을 냉각한 후 병에 넣었다.
2. 반사 방지 코팅제의 제조
본 실시예의 상기 1.에서 제조한 모액(20 g)을 Powderlink(등록상표) 1174 (0.50 g), p-톨루엔 설폰산(0.06 g), 프로필렌 글리콜 n-프로필 에테르(10.84 g) 및 에틸 락테이트(28.84 g)와 혼합하였다. 상기 용액은 사용전에 0.1 ㎛ PTFE 필터를 통해 여과하였다.
실시예 4
트리스(2,3-에폭시프로필)이소시아누레이트에 그라프팅된 3,7-디히드록시나프토산
1. 모액의 제조
트리스(2,3-에폭시프로필)이소시아누레이트(5.95 g), 3,7-디히드록시나프토산(12.25 g), 염화벤질트리에틸암모늄(0.34 g) 및 프로필렌 글리콜 n-프로필 에테르(163.8 g)를 둥근 바닥 플라스크에 첨가하였다. 질소 분위기를 조성하였으며, 반응물은 120℃에서 16 시간 동안 가열하였다. 상기 용액을 냉각한 후 병에 넣었다.
2. 반사 방지 코팅제의 제조
본 실시예의 상기 1.에서 제조한 모액(10 g)을 Powderlink(등록상표) 1174 (0.50 g), p-톨루엔 설폰산(0.03 g) 및 프로필렌 글리콜 n-프로필 에테르(21.72 g)와 혼합하였다. 상기 용액은 사용전에 0.1 ㎛ PTFE 필터를 통해 여과하였다.
실시예 5
트리메틸올프로판 트리글리시딜 에테르에 그라프팅된 α-시아노-4-히드록시신남산
1. 모액의 제조
트리메틸올프로판 트리글리시딜 에테르(6.05 g), α-시아노-4-히드록시신남산(11.35 g), 염화벤질트리에틸암모늄 (0.34 g) 및 프로필렌 글리콜 n-프로필 에테르(156.6 g)를 둥근 바닥 플라스크에 첨가하였다. 질소 분위기를 조성하였으며, 반응물은 120℃에서 16 시간 동안 가열하였다. 상기 용액을 냉각한 후 병에 넣었다.
2. 반사 방지 코팅제의 제조
본 실시예의 상기 1.에서 제조한 모액(20 g)을 Powderlink(등록상표) 1174 (0.50 g), p-톨루엔 설폰산(0.06 g), 프로필렌 글리콜 n-프로필 에테르(10.84 g) 및 에틸 락테이트(28.84 g)와 혼합하였다. 상기 용액은 사용전에 0.1 ㎛ PTFE 필터를 통해 여과하였다.
실시예 6
반사 방지 필름 특성의 측정
후술하는 테스트는 실시예 1 내지 5에서 제조한 배합물 각각에 대해 수행하였다.
1. 필름 스트립핑 테스트
각각의 배합물을 4-인치 실리콘 웨이퍼에 도포하고, 205℃에서 수초 동안 소성 하였다. 타원계로 필름 두께를 측정한 후, 필름에 에틸 락테이트 또는 PGMEA를 분무하였다. 생성된 퍼들(puddle)은 20초간 방치하고, 2000 rpm에서 30초 동안 스핀 건조 하였다. 이어서, 필름 두께를 재측정하였다. 상기 필름 스트립핑 테스트에서 얻은 결과는 하기 표 1에 나타냈다. 필름 두께의 손실은 최소였으며, 이는 상기 필름에 의한 양호한 내스트립성을 나타내는 것이다.
[표 1]
필름 스트립핑 테스트의 결과
배합물
(실시예 번호)
용매 Δ필름 두께
(Å)
1 에틸 락테이트 0
1 PGMEA 0
2 에틸 락테이트 -1
2 PGMEA -3
3 에틸 락테이트 0
3 PGMEA 0
4 에틸 락테이트 0
5 에틸 락테이드 0
2. 중간층 테스트
각각의 배합물은 4-인치 실리콘 웨이퍼에 도포하고, 205℃에서 60초 동안 소성하였다. 타원계로 필름 두께를 측정하였다. 시판되는 포토레지스트를 상기 필름에 도포하고, 도포후 소성(130℃에서 90초)을 수행하였다. 상기 레지스트를 광에 노출시킨 후, 노광후 소성(130℃에서 90초)을 수행하였다. 0.26 N 테트라메틸암모늄 히드록사이드(TMAH) 현상제로 상기 포토레지스트를 제거한 후, 필름 두께를 측정하였다. 상기 필름의 최종 두께와 초기 두께의 차이를 이용하여 중간층의 양을 결정하였다. 본 테스트의 결과는 하기 표 2에 나타냈다.
[표 2]
중간층 테스트의 결과
배합물
(실시예 번호)
포토레지스트 중간층 두께
(Å)
1 PAR811a 13
2 PAR811 60
3 PAR811 10
5 OIR620-09b 120
a Sumitomo에서 시판됨
b Fuji Film Arch.에서 시판됨
3. 스핀 보울 상용성 테스트
본 테스트는 배합물들을 6개의 4-인치 실리콘 웨이퍼에 도포한 다음, 주위 조건에서 24 시간 동안 상기 필름을 공기 건조함으로써 수행하였다. 상기 필름의 두께는 타원계로 측정하였다. 각각의 웨이퍼는 상이한 용매(아세톤, PGMEA, PGME, 2-헵타논, 시클로헥사논 및 에틸 락테이트)를 이용하여 180초 동안 플러딩하고, 이어서 스핀 건조하였다. 상기 필름의 90% 이상이 제거되는 경우, 상기 샘플은 용매와의 스핀 보울 상용성이 있는 것으로 간주하였다. 각각의 배합물은 테스트한 용매 각각과 스핀 보울 상용성이 있는 것으로 확인되었다.
4. 가변각 분광 타원계(V.A.S.E.) 측정
각각의 배합물을 4-인치 실리콘 웨이퍼에 도포하고, V.A.S.E.를 이용하여 각각의 굴절 지수를 측정하였다. 이들 측정 결과(실 굴절 지수 n 및 가상 굴절 지수 k)는 하기 표 3에 나타냈다. 이들 결과로부터, 광학적 특성이 변화될 수 있다는 것과 이 시스템이 리쏘그래피의 다양한 파장을 위해 사용할 수 있음을 확인하였다.
[표 3]
굴절 지수
배합물
(실시예 번호)
파장
(nm)
n k
1 193 1.69 0.45
2 193 1.52 0.48
3 193 1.70 0.57
4 248 1.81 0.48
5. 에칭
본 테스트는 각각의 배합물을 4-인치 실리콘 웨이퍼에 도포하고, 이어서 타원계를 이용하여 각 필름의 두께를 측정함으로써 수행하였다. 각각의 코팅된 웨이퍼는 트리온 에처내에 위치시키고, CF4 기체를 이용하여 40 sccm 유속, 50 와트 전력 및 50 mtorr 압력의 조건에서 에칭을 수행하였다. 에칭후, 필름의 두께를 재측정하였다. 에칭 속도는 초기 두께와 최종 두께의 차이를 에칭 시간으로 나눔으로써 계산하였다. 테스트 결과는 하기 표 4에 나타냈다.
[표 4]
배합물
(실시예 번호)
에칭 속도
(Å/초)
1 11.20
2 12.26
3 11.14
6. 이중 상감
실시예 1에서 제조한 배합물을 6-인치 비아 웨이퍼 상에 스핀 코팅하고, 205℃에서 소성하였다. 상기 샘플 웨이퍼의 단면은 도 1에 나타냈다.
7. 포토리쏘그래피
실시예 1에서 제조한 배합물을 8-인치 실리콘 웨이퍼 상에 스핀 코팅하고, 205℃에서 60초 동안 소성하여 두께 35 nm의 필름을 제조하였다. 시판되는 포토레지스트(TArF-P6071, TOK에서 시판됨)을 상기 필름에 도포하고, 120℃에서 90초 동안 도포후 소성을 수행하였다. 상기 포토레지스트는 ASML PA5500/900을 이용하여 선 및 공간 패턴화한고, 120℃에서 90초 동안 노광후 소성한 다음 포토레지스트를 현상하였다. 상기 샘플 웨이퍼의 단면도는 도 2에 나타냈다.

Claims (57)

  1. 리쏘그래피 공정중 광을 감쇠시키기 위한 반사 방지 조성물로서, 상기 조성물은 용매계 중에 용해 또는 분산된 성분들을 포함하며,
    상기 반사 방지 조성물중의 상기 성분들의 95% 이상은 비중합체(non-polymeric)로서 분자량이 성분 1 몰당 1,000 g 미만이며,
    상기 성분들은 각 광 감쇠 화합물과 반응하는 2개 이상의 에폭시 부분을 갖는 화합물을 포함하는 것을 특징으로 하는 반사 방지 조성물.
  2. 제1항에 있어서, 상기 성분들의 98% 이상의 분자량이 성분 1 몰당 1,000 g 미만인 것을 특징으로 하는 반사 방지 조성물.
  3. 삭제
  4. 삭제
  5. 제1항에 있어서, 상기 광감쇠화합물은 각각의 발색단과 결합된 각각의 카르복실산 기를 포함하는 것을 특징으로 하는 조성물.
  6. 제5항에 있어서, 상기 발색단은 고리형 기를 포함하는 것을 특징으로 하는 조성 물.
  7. 제6항에 있어서, 상기 발색단은 방향족 기를 포함하는 것을 특징으로 하는 조성물.
  8. 제1항에 있어서, 상기 화합물은 각 광감쇠화합물과 반응하는 2개 이상의 에폭시부분을 가지며, 동시에 하기 화학식을 갖는 2개 이상의 부분을 포함하는 것을 특징으로 하는 조성물:
    화학식
    Figure 112010064739307-pct00023
    상기 식 중에서,
    각각의 X는 발색단이고;
    각각의 R은 수소 및 C1-8 알킬기로 구성되는 군으로부터 선택된다.
  9. 제8항에 있어서, 상기 화합물은 다음식을 갖는 것을 특징으로 하는 조성물:
    화학식
    Figure 112008064402211-pct00024
    상기 식 중에서
    Figure 112008064402211-pct00008
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택되며, n은 2 이상이다.
  10. 제8항에 있어서, 상기 화합물은 하기 화학식의 화합물인 것을 특징으로 하는 조성물:
    화학식
    Figure 112008064402211-pct00009
    상기 식 중에서
    Figure 112008064402211-pct00025
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택된다.
  11. 제8항에 있어서, X는 벤젠, 안트라센, 나프탈렌 및 알킬로 구성되는 군으로부터 선택되는 것을 특징으로 하는 조성물.
  12. 제1항에 있어서, 상기 조성물은 계면활성제, 가교제, 촉매 및 이의 혼합물로 구성되는 군으로부터 선택되는 구성요소를 추가로 포함하는 것을 특징으로 하는 조성물.
  13. 제12항에 있어서, 상기 구성요소는 아미노플라스트, 에폭시 수지, 무수물 및 이의 혼합물로 구성되는 군으로부터 선택되는 가교제인 것을 특징으로 하는 조성물.
  14. 제12항에 있어서, 상기 구성요소는 설폰산, 열 산 생성제, 카르복실산 및 이의 혼합물로 구성되는 군으로부터 선택되는 것을 특징으로 하는 조성물.
  15. 제1항에 있어서, 상기 용매계는 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글 리콜 모노에틸 에테르 아세테이트, 에틸 락테이트, 프로필렌 글리콜 n-프로필 에테르, 시클로헥사논, 테트라히드로푸란, 디메틸 포름아미드, γ-부티로락톤 및 이의 혼합물로 구성되는 군으로부터 선택되는 용매를 포함하는 것을 특징으로 하는 조성물.
  16. 리쏘그래피 공정에서 광을 감쇠시키기 위한 반사 방지 조성물로서, 상기 조성물은 용매계 중에 용해 또는 분산된 성분들을 포함하며, 상기 성분은 하기 화학식을 갖는 비중합체(non-polymeric) 화합물을 포함하는 것을 특징으로 하는 반사 방지 조성물:
    화학식
    Figure 112010064739307-pct00037
    상기 식 중에서,
    각각의 X는 발색단이고;
    각각의 R은 수소 및 C1-8 알킬기로 구성되는 군으로부터 선택되며,
    상기 식 중에서
    Figure 112010064739307-pct00038
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택되며, n은 2 이상이다.
  17. 제16항에 있어서, 상기 성분들의 98% 이상의 분자량이 성분 1 몰당 5,000 g 미만인 것을 특징으로 하는 반사 방지 조성물.
  18. 제16항에 있어서, 상기 화합물은 1 몰당 5,000 g 미만의 분자량을 갖는 것을 특징으로 하는 조성물.
  19. 삭제
  20. 제16항에 있어서, 상기 화합물은 하기 화학식의 화합물인 것을 특징으로 하는 조성물:
    화학식
    Figure 112010064739307-pct00029
    상기 식 중에서
    Figure 112010064739307-pct00030
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택된다.
  21. 제16항에 있어서, X는 벤젠, 안트라센, 나프탈렌 및 알킬로 구성되는 군으로부터 선택되는 것을 특징으로 하는 조성물.
  22. 제16항에 있어서, 상기 조성물은 가교제, 촉매 및 이의 혼합물로 구성되는 군으로부터 선택되는 구성요소를 추가로 포함하는 것을 특징으로 하는 조성물.
  23. 제16항에 있어서, 상기 용매계는 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글 리콜 모노에틸 에테르 아세테이트, 에틸 락테이트, 프로필렌 글리콜 n-프로필 에테르, 시클로헥사논, 테트라히드로푸란, 디메틸 포름아미드, γ-부티로락톤 및 이의 혼합물로 구성되는 군으로부터 선택되는 용매를 포함하는 것을 특징으로 하는 조성물.
  24. 리쏘그래피 공정에서 조성물을 사용하는 방법으로서, 상기 방법은 일정량의 조성물을 기판에 도포하여 기판 상부에 층을 형성시키는 도포 단계를 포함하며,
    상기 기판에는 바닥벽과 측벽에 의해 홀이 형성되고, 상기 도포 단계는 상기 바닥벽과 측벽 중 최소한 일부분에 상기 조성물을 도포하는 단계를 포함하며,
    상기 조성물은 용매계 및 상기 용매계에 용해 또는 분산된 성분들을 포함하고,
    상기 성분들은 각 광감쇠화합물과 반응하는 2개 이상의 에폭시 부분을 포함하는 화합물을 가지고,
    상기 조성물중 상기 성분들의 95% 이상의 분자량이 성분 1몰 당 1,000g 미만인 것을 특징으로 하는 방법.
  25. 삭제
  26. 제24항에 있어서, 100∼250℃의 온도에서 상기 층을 소성하여 경화된(cured 또는 hardened) 층을 형성시키는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  27. 제26항에 있어서, 상기 경화된 층에 포토레지스트를 도포하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  28. 제27항에 있어서, 상기 포토레지스트의 적어도 일부분에 광을 노출시키는 단계; 및 상기 노광된 포토레지스트를 현상하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  29. 제24항에 있어서, 상기 기판은 규소, 알루미늄, 텅스텐, 규화텅스텐, 비소화갈륨, 게르마늄, 탄탈, SiGe 및 질화탄탈 웨이퍼로부터 선택하는 것을 특징으로 하는 방법.
  30. 삭제
  31. 제24항에 있어서, 상기 화합물은 각 광감쇠화합물과 반응하는 2개 이상의 에폭시 부분을 가지며, 동시에 하기 화학식을 갖는 2개 이상의 부분을 포함하는 것을 특징으로 하는 방법:
    화학식
    Figure 112010064739307-pct00031
    상기 식 중에서,
    각각의 X는 발색단이고;
    각각의 R은 수소 및 C1-8 알킬기로 구성되는 군으로부터 선택된다.
  32. 리쏘그래피 공정에서 조성물을 사용하는 방법으로서, 상기 방법은 일정량의 조성물을 기판에 도포하여 기판 상부에 층을 형성시키는 도포 단계를 포함하며,
    상기 조성물은 용매계 및 상기 용매계에 용해 또는 분산된 비중합체(non-polymeric) 화합물을 포함하고,
    상기 화합물은 하기 화학식을 갖는 것을 특징으로 하는 방법:
    화학식
    Figure 112010064739307-pct00039
    상기 식 중에서,
    각각의 X는 발색단이고;
    각각의 R은 수소 및 C1-8 알킬기로 구성되는 군으로부터 선택되며,
    상기 식 중에서
    Figure 112010064739307-pct00040
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택되며, n은 2 이상이다.
  33. 제32항에 있어서, 상기 기판은 내부에 형성된 홀을 보유하며, 상기 홀은 바닥 벽과 측벽에 의해 한정되며, 상기 도포 단계는 상기 바닥 벽의 적어도 일부분 및 측벽에 상기 조성물을 도포하는 것을 특징으로 하는 방법.
  34. 제32항에 있어서, 100∼250℃의 온도에서 상기 층을 소성하여 경화된(cured 또는 hardened) 층을 형성시키는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  35. 제34항에 있어서, 상기 경화된 층에 포토레지스트를 도포하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  36. 제35항에 있어서, 상기 포토레지스트의 적어도 일부분에 광을 노출시키는 단계; 및 상기 노광된 포토레지스트를 현상하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  37. 제32항에 있어서, 상기 기판은 규소, 알루미늄, 텅스텐, 규화텅스텐, 비소화갈륨, 게르마늄, 탄탈, SiGe 및 질화탄탈 웨이퍼로부터 선택하는 것을 특징으로 하는 방법.
  38. 제32항에 있어서, 상기 조성물은 상기 용매계 중에 용해 또는 분산된 성분들을 포함하고, 상기 성분들의 98% 이상의 분자량이 성분 1몰 당 5,000 g 미만인 것을 특징으로 하는 방법.
  39. 제32항에 있어서, 상기 화합물의 분자량은 1몰 당 5,000 g 미만인 것을 특징으로 하는 방법.
  40. 삭제
  41. 제32항에 있어서, 상기 화합물은 하기 화학식의 화합물인 것을 특징으로 하는 방법:
    화학식
    Figure 112008064402211-pct00035
    상기 식 중에서
    Figure 112008064402211-pct00036
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택된다.
  42. 제32항에 있어서, X는 벤젠, 안트라센, 나프탈렌 및 알킬로 구성되는 군으로부터 선택되는 것을 특징으로 하는 방법.
  43. 제32항에 있어서, 상기 조성물은 가교제, 촉매 및 이의 혼합물로 구성되는 군으로부터 선택되는 구성요소를 추가로 포함하는 것을 특징으로 하는 방법.
  44. 포토리쏘그래피 공정에서 형성된 전구 구조체(precusor structure)로서,
    상기 구조체는 표면을 보유하는 기판; 상기 기판 표면 상의 반사 방지층을 포함하고,
    상기 반사 방지층은 용매계 및 상기 용매계 중에 용해 또는 분산된 성분들을 포함하는 조성물로 형성되며,
    상기 성분들은 각 광감쇠화합물과 반응하는 2개 이상의 에폭시 부분을 포함하는 화합물을 가지고,
    상기 조성물중 상기 성분들의 95% 이상의 분자량이 성분 1몰 당 1,000g 미만이고,
    상기 층은 320Å의 층 두께에서 193 nm 파장의 빛의 95% 이상을 흡수하는 것을 특징으로 하는 전구 구조체.
  45. 제44항에 있어서, 상기 반사 방지층에 인접한 포토레지스트를 추가로 포함하는 것을 특징으로 하는 전구 구조체.
  46. 삭제
  47. 제44항에 있어서, 상기 기판은 규소, 알루미늄, 텅스텐, 규화텅스텐, 비소화갈륨, 게르마늄, 탄탈, SiGe 및 질화탄탈 웨이퍼로부터 선택하는 것을 특징으로 하는 전구 구조체.
  48. 포토리쏘그래피 공정에서 형성된 전구 구조체로서,
    상기 구조체는 표면을 보유하는 기판; 상기 기판 표면 상의 반사 방지층을 포함하고,
    상기 층은 용매계 및 상기 용매계 중에 용해 또는 분산된 비중합체(non-polymeric) 화합물을 포함하는 조성물로 형성되며,
    상기 화합물은 하기 화학식을 갖는 것을 특징으로 하는 전구 구조체:
    화학식
    Figure 112010064739307-pct00041
    상기 식 중에서,
    각각의 X는 발색단이고;
    각각의 R은 수소 및 C1-8 알킬기로 구성되는 군으로부터 선택되며,
    상기 식 중에서
    Figure 112010064739307-pct00042
    는 고리형, 비고리형, 지방족 및 방향족 화합물 및 상기 화합물의 작용 부분으로 구성되는 군으로부터 선택되며, n은 2 이상이다.
  49. 제48항에 있어서, 상기 반사 방지층에 인접한 포토레지스트를 추가로 포함하는 것을 특징으로 하는 전구 구조체.
  50. 제48항에 있어서, 상기 층은 320Å의 층 두께에서 193 nm 파장의 빛의 95% 이상을 흡수하는 것을 특징으로 하는 전구 구조체
  51. 제48항에 있어서, 상기 기판은 규소, 알루미늄, 텅스텐, 규화텅스텐, 비소화갈륨, 게르마늄, 탄탈, SiGe 및 질화탄탈 웨이퍼로부터 선택하는 것을 특징으로 하는 전구 구조체.
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 포토리쏘그래피 공정에서 형성된 전구 구조체(precusor structure)로서,
    상기 구조체는 바닥벽과 측벽에 의해 형성된 홀을 갖는 기판; 및 상기 바닥벽과 측벽 중 최소한 일부분에 형성된 반사 방지층을 포함하고,
    상기 반사 방지층은 용매계 및 상기 용매계 중에 용해 또는 분산된 성분들을 포함하는 조성물로 형성되며,
    상기 조성물중 상기 성분들의 95% 이상은 비중합체(non-polymeric)로서 분자량이 성분 1몰 당 1,000g 미만인 것을 특징으로 하는 전구 구조체.
KR1020057006151A 2002-10-08 2003-10-07 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제 KR101027606B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US41721402P 2002-10-08 2002-10-08
US60/417,214 2002-10-08
US10/679,521 2003-10-06
US10/679,521 US7323289B2 (en) 2002-10-08 2003-10-06 Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties

Publications (2)

Publication Number Publication Date
KR20050062606A KR20050062606A (ko) 2005-06-23
KR101027606B1 true KR101027606B1 (ko) 2011-04-06

Family

ID=32096187

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057006151A KR101027606B1 (ko) 2002-10-08 2003-10-07 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제

Country Status (8)

Country Link
US (1) US7323289B2 (ko)
EP (1) EP1573785B1 (ko)
JP (1) JP4559228B2 (ko)
KR (1) KR101027606B1 (ko)
CN (1) CN1739063B (ko)
AU (1) AU2003282554A1 (ko)
TW (1) TWI319838B (ko)
WO (1) WO2004034435A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451346B1 (en) * 1998-12-23 2002-09-17 Amgen Inc Biodegradable pH/thermosensitive hydrogels for sustained delivery of biologically active agents
DE60330798D1 (de) * 2002-10-09 2010-02-11 Nissan Chemical Ind Ltd Zusammensetzung zur bildung einer antireflexschicht für die lithographie
JP2007514518A (ja) * 2003-10-02 2007-06-07 トラスティーズ オブ スティーヴンス インスティチュート オブ テクノロジー 水素結合によって結合した多層中性ポリマーフィルムのカプセル
JP4697464B2 (ja) * 2004-10-12 2011-06-08 日産化学工業株式会社 含窒素芳香環構造を含むリソグラフィー用反射防止膜形成組成物
US20060198868A1 (en) * 2005-01-05 2006-09-07 Dewitt David M Biodegradable coating compositions comprising blends
US20060147491A1 (en) * 2005-01-05 2006-07-06 Dewitt David M Biodegradable coating compositions including multiple layers
CN101268419A (zh) 2005-09-27 2008-09-17 日产化学工业株式会社 含有异氰脲酸化合物与苯甲酸化合物的反应生成物的形成防反射膜的组合物
CN103838086B (zh) * 2005-09-27 2017-10-20 日产化学工业株式会社 含有异氰脲酸化合物与苯甲酸化合物的反应生成物的形成防反射膜的组合物
EP2042927B1 (en) 2006-06-19 2012-03-07 Nissan Chemical Industries, Ltd. Composition containing hydroxylated condensation resin for forming film under resist
CN101821677B (zh) * 2007-10-31 2012-07-04 日产化学工业株式会社 形成抗蚀剂下层膜的组合物和采用该形成抗蚀剂下层膜的组合物形成抗蚀剂图案的方法
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
KR100894218B1 (ko) * 2008-04-11 2009-04-22 금호석유화학 주식회사 흡광제 및 이를 포함하는 유기 반사 방지막 조성물
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
WO2010055852A1 (ja) * 2008-11-12 2010-05-20 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたパターニング方法
WO2010083350A1 (en) * 2009-01-16 2010-07-22 Fujifilm Electronic Materials U.S.A., Inc. Nonpolymeric binders for semiconductor substrate coatings
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
WO2011125839A1 (ja) * 2010-03-31 2011-10-13 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
SG11201404229YA (en) * 2012-01-19 2014-08-28 Brewer Science Inc Nonpolymeric antireflection compositions containing adamantyl groups
US10331032B2 (en) 2012-04-23 2019-06-25 Brewer Science, Inc. Photosensitive, developer-soluble bottom anti-reflective coating material
JP6196194B2 (ja) * 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
KR102460271B1 (ko) * 2015-04-03 2022-10-28 닛산 가가쿠 가부시키가이샤 광가교기를 가지는 단차 기판 피복 조성물
US20200201183A1 (en) 2016-07-15 2020-06-25 Nissan Chemical Corporation Resist underlayer film forming composition containing compound having hydantoin ring
EP3807721A4 (en) 2018-06-13 2022-04-13 Brewer Science, Inc. ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY
JP7468645B2 (ja) * 2020-06-12 2024-04-16 日産化学株式会社 ジオール構造を含むレジスト下層膜形成用組成物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11511194A (ja) * 1995-08-21 1999-09-28 ブリューアー サイエンス インコーポレイテッド 熱硬化性反射防止性コーティングおよびその製造方法
KR20010006699A (ko) * 1999-03-08 2001-01-26 마티네즈 길러모 평탄화 반사방지 코팅 조성물
KR20020070804A (ko) * 2001-02-22 2002-09-11 닛산 가가쿠 고교 가부시키 가이샤 리소그래피용 반사 방지막 형성 조성물

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3776729A (en) * 1971-02-22 1973-12-04 Ibm Photosensitive dielectric composition and process of using the same
JPS6021050A (ja) * 1983-07-15 1985-02-02 Toray Ind Inc 湿し水不要平版印刷用原板
JPS61123847A (ja) * 1984-11-21 1986-06-11 Toray Ind Inc 水なし平版印刷用原板
JP3567487B2 (ja) * 1994-06-24 2004-09-22 東レ株式会社 水なし平版印刷版原版
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
US5886102A (en) 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
JP2001022084A (ja) * 1999-05-06 2001-01-26 Tokyo Ohka Kogyo Co Ltd 微細パターン形成方法
EP1378796A4 (en) * 2001-04-10 2004-07-14 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTI-REFLECTIVE FILM FOR LITHOGRAPHIC PROCESS
US6670425B2 (en) * 2001-06-05 2003-12-30 Brewer Science, Inc. Anti-reflective coating of polymer with epoxide rings reacted with light attenuating compound and unreacted epoxide rings
US6846612B2 (en) * 2002-02-01 2005-01-25 Brewer Science Inc. Organic anti-reflective coating compositions for advanced microlithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11511194A (ja) * 1995-08-21 1999-09-28 ブリューアー サイエンス インコーポレイテッド 熱硬化性反射防止性コーティングおよびその製造方法
KR20010006699A (ko) * 1999-03-08 2001-01-26 마티네즈 길러모 평탄화 반사방지 코팅 조성물
KR20020070804A (ko) * 2001-02-22 2002-09-11 닛산 가가쿠 고교 가부시키 가이샤 리소그래피용 반사 방지막 형성 조성물

Also Published As

Publication number Publication date
JP2006502448A (ja) 2006-01-19
AU2003282554A1 (en) 2004-05-04
EP1573785B1 (en) 2013-02-13
TWI319838B (en) 2010-01-21
JP4559228B2 (ja) 2010-10-06
EP1573785A2 (en) 2005-09-14
TW200422768A (en) 2004-11-01
US7323289B2 (en) 2008-01-29
WO2004034435A2 (en) 2004-04-22
EP1573785A4 (en) 2010-11-10
KR20050062606A (ko) 2005-06-23
US20040110089A1 (en) 2004-06-10
CN1739063B (zh) 2011-12-07
AU2003282554A8 (en) 2004-05-04
WO2004034435A3 (en) 2005-07-28
CN1739063A (zh) 2006-02-22

Similar Documents

Publication Publication Date Title
KR101027606B1 (ko) 다수의 에폭시 부분을 가진 소 코어 분자로부터 유도된바닥 반사 방지 코팅제
JP4533744B2 (ja) 反射防止膜用湿式現像性ポリマーバインダーとしてのスピン・ボウル適合性ポリアミック酸/イミド
TWI440972B (zh) 使用可顯影劑修整之硬罩幕產生光微影結構之方法
JP4648967B2 (ja) 改善されたスピンボウル適合性を有する反射防止コーティング組成物
KR101057344B1 (ko) 반사방지 조성물의 이용방법
US7507783B2 (en) Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
JP5168517B2 (ja) レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
KR20110013374A (ko) 반사방지 코팅 조성물
JP5368674B2 (ja) 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
KR100891046B1 (ko) 하이드록시프로필 셀룰로즈의 아릴 우레탄을 함유하는열경화성 반사방지 코팅
KR20150034122A (ko) 아다만틸 기를 포함하는 비폴리머성 반사 방지 조성물
KR101036408B1 (ko) 스티렌-알릴 알콜 공중합체를 포함하는 반사방지 코팅조성물 및 듀얼 다마신 매립 조성물
KR101212676B1 (ko) 고분자, 고분자 조성물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 재료의 패턴화 방법
US20040242759A1 (en) Bottom anti-reflective coating compositions comprising silicon containing polymers to improve adhesion towards photoresists
He et al. Bottom antireflective coatings (BARCs) for 157-nm lithography
CN115427891A (zh) 抗蚀剂下层膜形成用组合物
KR20220068772A (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140320

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160303

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180312

Year of fee payment: 8