KR20000006011A - 실리콘산화막형성장치및실리콘산화막형성방법 - Google Patents

실리콘산화막형성장치및실리콘산화막형성방법 Download PDF

Info

Publication number
KR20000006011A
KR20000006011A KR1019990021135A KR19990021135A KR20000006011A KR 20000006011 A KR20000006011 A KR 20000006011A KR 1019990021135 A KR1019990021135 A KR 1019990021135A KR 19990021135 A KR19990021135 A KR 19990021135A KR 20000006011 A KR20000006011 A KR 20000006011A
Authority
KR
South Korea
Prior art keywords
oxide film
processing chamber
silicon oxide
substrate
inert gas
Prior art date
Application number
KR1019990021135A
Other languages
English (en)
Inventor
가시와기아키히데
가타오카도요타카
스즈키도시히코
Original Assignee
이데이 노부유끼
소니 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이데이 노부유끼, 소니 가부시끼 가이샤 filed Critical 이데이 노부유끼
Publication of KR20000006011A publication Critical patent/KR20000006011A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • C23C8/16Oxidising using oxygen-containing compounds, e.g. water, carbon dioxide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/005Oxydation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘 산화막 형성 장치를 구성하는 금속 부재가 수분에 의해 부식되지 않으며, 또 실리콘 산화막의 막 뚜께의 면 내 불균일화를 일으킨다고 하는 문제가 발생하지 않는 실리콘 산화막 형성 방법을 제공한다.
실리콘 산화막 형성 방법에 있어서는, 처리실 및 이 처리실 내에서 수증기가 결로(結露)되는 것을 방지하며, 또는 처리실 내에서 결로된 물을 증발시키는 결로 방지·증발 수단을 구비한 실리콘 산화막 형성 장치를 사용하여, 처리실에 실리콘층을 가지는 기판(基體)를 이송하고, 처리실에 수증기를 도입하여 실리콘층 표면을 열산화(熱酸化)한 후, 처리실 내에서 수증기가 결로되어 있지 않은 상태에서, 또는 처리실 내에서 결로된 물을 증발시킨 상태에서, 기판을 처리실로부터 이송한다.

Description

실리콘 산화막 형성 장치 및 실리콘 산화막 형성 방법{APPARATUS FOR FORMING SILICON OXIDE FILM AND METHOD OF FORMING SILICON OXIDE FILM}
본 발명은 실리콘 산화막 형성 장치 및 실리콘 산화막 형성 방법에 관한 것이다.
예를 들면, MOS형 반도체 장치의 제조에 있어서는, 실리콘 산화막으로 이루어지는 게이트 산화막을 실리콘 반도체 기판의 표면에 형성할 필요가 있다. 또, 박막 트랜지스터(TFT)의 제조에 있어서도, 절연성 기판 위에 형성된 실리콘층의 표면에 실리콘 산화막으로 이루어지는 게이트 산화막을 형성할 필요가 있다. 이와 같은 실리콘 산화막은 반도체 장치의 신뢰성을 떠 맡고 있다고 해도 과언은 아니다. 따라서, 실리콘 산화막에는 항상 높은 절연 파괴 내압 및 장기 신뢰성이 요구된다.
실리콘 산화막 형성 장치로서는 게이트 산화막의 박막화 및 기판의 대구경화에 따라, 석영제의 처리실(산화 노(爐))을 수평으로 지지한 횡형(橫型) 방식으로부터 수직으로 지지한 종형(縱型) 방식의 실리콘 산화막 형성 장치에의 이행이 진행되고 있다. 이것은 종형 방식의 실리콘 산화막 형성 장치의 쪽이, 횡형 방식의 실리콘 산화막 형성 장치보다도, 기판의 대구경화에 대처하기 쉬울 뿐만 아니라, 실리콘 반도체 기판을 처리실로 이송할 때 대기가 말려 들어감에 따라 생성되는 실리콘 산화막(이하, 이러한 실리콘 산화막을 자연 산화막이라고 함)을 저감할 수 있기 때문이다. 그러나, 종형 방식의 실리콘 산화막 형성 장치를 사용하는 경우라도, 2nm 두께 정도의 자연 산화막이 실리콘 반도체 가판의 표면에 형성되어 버린다. 자연 산화막에는 대기 중의 불순물이 많이 함유되어 있으며, 게이트 산화막의 박막화에 있어서는 자연 산화막의 존재를 무시할 수 없다. 그러므로, (1) 실리콘 산화막 형성 장치에 배설된 기판 이송부에 대량의 질소 가스를 흐르게 하여 질소 가스 분위기로 하는 방법(질소 가스 퍼지(purge) 방식), (2) 일단, 기판 이송부 내를 진공으로 한 후, 질소 가스 등으로 기판 이송부 내를 치환(置換)하여 대기를 제거하는 방법(진공 로드록(loadlock) 방식) 등을 채용하여, 될 수 있는 한 자연 산화막의 형성을 억제하는 방법이 제안되어 있다.
그리고, 처리실(산화 노) 내를 불활성 가스 분위기로 한 상태에서, 실리콘 반도체 기판을 처리실(산화 노)로 이송하고, 이어서 처리실(산화 노) 내를 산화성(酸化性) 분위기로 전환하여, 실리콘 반도체 기판을 열산화함으로써 게이트 산화막을 형성한다. 게이트 산화막의 형성에는, 고온으로 유지된 처리실 내에 고순도의 수증기를 도입함으로써 실리콘 반도체 기판의 표면을 열산화하는 방법(습식(濕式) 산화법)이 채용되고 있으며, 고순도의 건조(乾燥) 산소 가스에 의해 실리콘 반도체 기판 표면을 산화하는 방법(건식 산화법)보다도, 전기적 신뢰성이 높은 게이트 산화막을 형성할 수 있다. 이 습식 산화법의 하나로, 수소 가스를 산소 가스와 고온으로 혼합하여, 연소시킴으로써 생성된 수증기를 사용하는 파이로제닉(pyrogenic) 산화법(수소 연소 산화법이라고도 함)이 있어, 많이 채용되고 있다. 통상, 이 파이로제닉 산화법에 있어서는, 처리실(산화 노)의 외부에 배설되고, 그리고 700∼900℃로 유지된 연소실 내에 산소 가스를 공급하고, 그 후, 연소실 내에 수소 가스를 공급하여 고온 중에서 수소 가스를 연소시킨다. 이에 따라 얻어진 수증기를 산화 종(種)으로서 사용한다.
파이로제닉 산화법에 의해 실리콘 산화막을 형성하기 위한 종형 방식의 실리콘 산화막 형성 장치의 개념도를 도 21에 나타냈다. 이 종형 방식의 실리콘 산화막 형성 장치는, 수직 방향으로 지지된 석영제의 2중관 구조의 처리실(10)과, 처리실(10)에 수증기 등을 도입하기 위한 수증기 도입부(12)와, 처리실(10)에부터 가스를 배기하는 가스 배기부(13)와, SiC로 이루어지는 원통형의 균열관(均熱管)(1
6)을 통해 처리실(10) 내를 소정의 분위기 온도로 유지하기 위한 히터(14)와, 기판 이송부(20)와, 기판 이송부(20)에 질소 가스를 도입하기 위한 가스 도입부(21)와, 기판 이송부(20)로부터 가스를 배기하는 가스 배기부(22)와, 처리실(10)과 기판 이송부(20)를 간막이하는 셔터(15)와, 실리콘 반도체 기판을 처리실(10) 내에 이송하기 위한 엘리베이터 장치(23)로 구성되어 있다.
엘리베이터 장치(23)에는 기부(基部)(26)가 장착되고, 이 기부(26) 위에는 단열 부재(25)가 배설되고, 또한 단열 부재(25) 위에, 실리콘 반도체 기판을 수납, 얹어 놓기 위한 석영 또는 SiC로 제작된 기판 수납부(24)가 장착되어 있다. 또, 기부(26)의 상면 외주부에는, 예를 들면 O링으로 이루어지는 실(seal) 부재(27)가 장착되어, 기판 수납부(24)가 처리실(10) 내에 이송되었을 때, 처리실(10)의 바닥부는 기부(26)에 의해 밀폐된다(도 22 참조). 그리고, 기부(26)는 그 내부에 냉각수를 흐르게 할 수 있는 구조로 되어 있다.
단열 부재(25)는 보온통 또는 히트 배리어(heat barrier)라고도 불려지며, 일반적으로는 상면 및 바닥면이 닫힌 중공(中空) 원통형의 석영 유리제의 부재이고, 중공부에는, 예를 들면 유리 섬유가 충전된 구조로 되어 있다. 또한, 단열 부재(25) 부근의 처리실(10) 외부에는, 냉각수를 흐르게 하기 위한 배관(17)이 배설되어 있다. 이와 같은 구조로 함으로써, 처리실(10) 내의 복사열이 기부(26)에 직접 전달되는 결과, 실 부재(27)가 손상되는 것을 방지할 수 있고, 나아가 엘리베이터 장치(23)의 동작 불량 발생을 확실하게 방지할 수 있다.
연소실(30)에 공급된 수소 가스를 산소 가스와, 연소실(30) 내에서 고온으로 혼합하여 연소시킴으로써, 수증기를 생성시킨다. 이러한 수증기는 배관(31), 가스 유로(流路)(11) 및 수증기 도입부(12)를 통해 처리실(10) 내에 도입된다. 그리고, 가스 유로(11)는 2중관 구조의 처리실(10)의 내벽 및 외벽 사이의 공간에 상당한다.
이와 같은 구조를 가지는 종래의 실리콘 산화막 형성 장치를 사용한 종래의 실리콘 산화막 형성 방법의 개요를, 다음에 도 23∼도 25를 참조하여 설명한다.
[공정-10]
먼저, 배관(32), 연소실(30), 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10)에 질소 가스를 도입하여, 처리실(10) 내를 질소 가스 분위기로 하고, 또한 균열관(16)을 통해 히터(14)에 의해 처리실(10) 내의 분위기 온도를 700∼750℃로 유지한다. 처리실(10) 내의 분위기 온도를 이와 같은 온도로 유지하는 목적은, 처리실(10) 내에 실리콘 반도체 기판(50)을 이송했을 때에 실리콘 반도체 기판(50)이 입을 열 쇼크를 경감하는 것에 있다. 이 상태에서는, 셔터(15)는 닫아 둔다. 기판 이송부(20)는 대기에 해방된 상태이다. 또, 배관(17) 중에 냉각수를 흐르게 하여 둔다.
[공정-20]
그리고, 기판 이송부(20)에 실리콘 반도체 기판(50)을 이송하여, 기판 수납부(24)에 실리콘 반도체 기판(50)을 얹어 놓는다. 기판 이송부(20)에의 실리콘 반도체 기판(50)의 이송이 완료된 후, 도시하지 않은 도어를 닫아 기판 이송부(20)에 가스 도입부(21)로부터 질소 가스를 도입하고, 가스 배기부(22)로부터 배출하여 기판 이송부(20) 내를 질소 가스 분위기로 한다(도 23 (A) 참조). 그리고, 기부(26)의 내부에 냉각수를 흐르게 하여 둔다.
[공정-30]
기판 이송부(20) 내가 충분히 질소 가스 분위기로 된 시점에서, 셔터(15)를 열고(도 23 (B) 참조), 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 50mm/분 정도의 상승 속도로 상승시켜, 실리콘 반도체 기판(50)을 처리실(10) 내에 이송한다(도 24 (A) 참조). 엘리베이터 장치(23)가 최상승 위치에 다다르면, 실 부재(27)가 처리실(10)의 바닥부와 접하고, 처리실(10)의 바닥부는 기부(2)에 의해 닫혀져, 처리실(10)과 기판 이송부(20)와의 사이는 연통(連通)되지 않게 된다.(도 22 참조).
[공정-40]
처리실(10) 내의 분위기 온도가 충분히 안정된 후, 처리실(10) 내의 분위기 온도를 800∼900℃로 승온(昇溫)한다(도 24 (B) 참조). 그리고, 배관(32,33)을 통해 연소실(30) 내에 산소 가스 및 수소 가스를 공급하고, 수소 가스를 산소 가스와 연소실(30) 내에서 고온으로 혼합하여, 연소시킴으로써 생성된 수증기를 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10)에 도입하여, 가스 배기부(13)로부터 배기한다(도 25 (A) 참조). 이에 따라, 실리콘 반도체 기판(50)의 표면이 열산화되어, 실리콘 산화막이 형성된다. 그리고, 연소실(30) 내의 온도를, 예를 들면 히터(도시하지 않음)에 의해 700∼900℃로 유지한다.
[공정-50]
원하는 막 두께의 실리콘 산화막을 형성한 후, 처리실(10)에의 수증기의 공급을 정지하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기로 한다. 그 후, 처리실(10) 내의 분위기 온도를 실리콘 반도체 기판(50)에의 열 쇼크 경감을 위해, 700∼750℃까지 강온(降溫)한다(도 25 (B) 참조). 그리고, 처리실(10) 내의 분위기 온도가 안정된 후, 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키고, 실리콘 반도체 기판(50)을 기판 이송부(20)로부터 이송한다.
배관(17) 중에 냉각수를 계속 흐르게 하고, 나아가 기부(26) 내부에도 냉각수를 계속 흐르게 하므로, [공정-40]에서 처리실(10) 내의 분위기 온도를, 예를 들면 850℃로 했을 때, 기판 수납부(24)가 위치하는 처리실(10) 영역의 분위기 온도와 단열 부재(25)와의 사이에는 큰 온도 구배(句配)가 생겨, 실리콘 산화막 성형 장치에도 의하지만, 단열 부재(25)의 표면(외면) 온도는 150∼200℃, 또는 그 이하로 된다.
종래의 실리콘 산화막 형성 방법에 있어서는, [공정-50]에서 일단 처리실(10) 내의 분위기 온도를 700∼750℃까지 강온한 후, 실리콘 반도체 기판(50)을 처리실(10)에부터 이송한다. 그러므로, 가령 실리콘 산화막의 형성 공정에서 단열 부재(25)의 표면에 결로가 생겼다고 해도, [공정-50]에서 처리실(10) 내를 700∼750℃의 불활성 가스 분위기로 어느 시간, 유지하는 결과, 단열 부재(25)의 표면에 결로된 물은 증발한다.
최근, LSI의 고집적화를 위해 게이트 산화막의 박막화가 진행되고 있으며, 이에 따라 실리콘 반도체 기판의 열산화 온도의 저온화가 진행되고 있다. 그 이유는 종래의 열산화 온도인 800∼900℃에서는 산화 시간이 극단적으로 짧아 지기 때문이다.
그런데, 이와 같이 열산화 온도를 저온(예를 들면, 700∼750℃, 또는 그 이하의 온도)으로 하면, 실리콘 산화막의 형성 공정에 있어서, 단열 부재(25)의 표면 온도가 100℃ 미만으로 되어, 단열 부재(25)의 표면에 결로가 생기는 것이 명백해졌다. 이와 같이, 단열 부재(25)의 표면이 결로된 그대로의 상태에서, 실리콘 반도체 기판(50)을 처리실(10)에부터 이송하면, 엘리베이터 장치(23)의 금속 부재에 부식이 발생할 우려가 있다. 금속 부재가 부식되면, 엘리베이터 장치(23)가 정상으로 동작하지 않게 될 뿐만 아니라, 부식 부분이 금속 불순물 발생원으로 되기 때문에, 처리실(10) 내에 금속 불순물이 혼입된 경우, 실리콘 산화막의 특성 열화의 원인이된다. 또, 열처리(10)로부터 실리콘 반도체 기판(50)을 기판 이송부(20)에 이송한 직후의 실리콘 반도체 기판(50)은 수백℃나 되어 있으므로, 단열 부재(25)의 표면에 결로한 물이 증발하여, 수증기가 발생한다. 이 수증기가 실리콘 반도체 기판(50)에 접촉하면, 실리콘 반도체 기판(50)의 표면에 워터 마크와 유사한 얼룩이 생겨, 실리콘 산화막의 막 두께의 면 내 불균일화를 일으킨다.
따라서, 본 발명의 목적은 실리콘 산화막 형성 장치를 구성하는 금속 부재가 수분에 의해 부식되지 않고, 또 실리콘 반도체 기판의 표면에 워터 마크와 유사한 얼룩이 생기는 결과, 실리콘 산화막의 막 두께의 면내 불균일화를 일으킨다고 하는 문제가 생기지 않는 실리콘 산화막 형성 장치 및 실리콘 산화막 형성 방법을 제공하는 것에 있다.
도 1은 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 장치의 모식도.
도 2는 반도체 기판을 처리실 내로 이송하여, 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 장치에 의해 실리콘층 표면을 열산화(熱酸化)하고 있는 상태를 나타낸 모식도.
도 3은 불활성 가스 도입부, 가스 유로 및 가스 배기부의 배치를 모식적으로 나타낸 도면.
도 4는 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 5는 도 4에 계속하여, 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 6은 도 5에 계속하여, 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 7은 도 6에 계속하여, 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 8은 열처리 장치의 모식도.
도 9는 도 1에 나타낸 본 발명의 실시 형태 1에 있어서의 실리콘 산화막 형성 장치의 변형예를 나타낸 모식도.
도 10은 본 발명의 실시 형태 2에 있어서의 실리콘 산화막 형성 장치의 모식도.
도 11은 본 발명의 실시 형태 4에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 12는 도 11에 계속하여, 본 발명의 실시 형태 4에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 13은 도 12에 계속하여, 본 발명의 실시 형태 4에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 14는 도 13에 계속하여, 본 발명의 실시 형태 4에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 15는 본 발명의 실시 형태 5에 있어서의 실리콘 산화막 형성 장치의 모식도.
도 16은 본 발명의 실시 형태 5에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 17은 도 16에 계속하여, 본 발명의 실시 형태 5에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 18은 도 17에 계속하여, 본 발명의 실시 형태 5에 있어서의 실리콘 산화막 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 19는 산소 플라즈마와 수소 플라즈마의 반응에 의해 발생한 수증기에 의한 산화법에 따른 실리콘 산화막 형성 장치의 개념도.
도 20은 클러스터 툴(cluster tool) 장치의 모식도.
도 21은 종래의 종형(縱型) 방식에 실리콘 산화막 형성 장치(열산화 노(爐))의 모식적인 단면도.
도 22는 도 21에 나타낸 종래의 실리콘 산화막 형성 장치의 열처리실 내에 실리콘 반도체 기판을 이송하여, 실리콘 반도체 기판의 표면을 열산화하고 있는 상태를 나타낸 모식도.
도 23은 종래의 실리콘 산화막의 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 24는 도 23에 계속하여, 종래의 실리콘 산화막의 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
도 25는 도 24에 계속하여, 종래의 실리콘 산화막의 형성 방법을 설명하기 위한 실리콘 산화막 형성 장치 등의 개념도.
<도면의 주요 부분에 대한 부호의 설명>
10: 처리실, 11: 가스 유로, 12: 수증기 도입부, 13: 가스 배기부, 14: 히터, 15: 셔터, 16: 균열관(均熱管), 17: 배관, 20: 기판 이송부, 21: 가스 도입부, 22: 가스 배기부, 23: 엘리베이터 장치, 24: 기판(基體) 수납부, 25: 단열 부재, 26: 기부(基部), 27: 실 부재, 30: 연소실, 31, 32, 33: 배관, 40: 불활성 가스 도입부, 41: 습도 센터, 42: 밸브, 43: 히터, 50: 실리콘 반도체 기판, 60: 처리실, 70: 수증기 발생 장치, 71: 수증기 발생실, 72: 마이크로파 도파관(導波管), 73: 마그네트론, 74, 75, 76, 78: 배관, 77, 79: 히터.
상기 목적을 달성하기 위한 본 발명의 실리콘 산화막 형성 장치는, 처리실을 구비하고, 이 처리실에 수증기를 도입하여 실리콘층 표면을 열산화하는 실리콘 산화막 형성 장치로서, 처리실 내에서 수증기가 결로되는 것을 방지하고, 또는 처리실 내에서 결로된 물을 증발시키는 결로 방지/증발 수단을 구비하고 있는 것을 특징으로 한다.
상기 목적을 달성하기 위한 본 발명의 제1 양태에 관한 실리콘 산화막 형성 방법은 처리실 및 이 처리실 내에서 수증기가 결로되는 것을 방지하며, 또는 처리실 내에서 결로된 물을 증발시키는 결로 방지/증발 수단을 구비한 실리콘 산화막 형성 장치를 사용하여, 처리실에 실리콘층을 가지는 기판을 이송하고, 처리실에 수증기를 도입하여 실리콘층 표면을 열산화하는 실리콘 산화막 형성 방법으로서, 실리콘층 표면을 열산화한 후, 처리실 내에서 수증기가 결로되어 있지 않은 상태에서, 또는 처리실 내에서 결로된 물을 증발시키는 상태에서, 처리실 내를 불활성 가스로 치환하여, 수증기를 처리실 내로부터 제거한 후, 기판을 처리실로부터 이송하는 것을 특징으로 한다. 그리고, 처리실 내를 불활성 가스로 치환하기 시작하는 시점은, 처리실 내에서 수증기가 결로되어 있지 않은 상태, 또는 처리실 내에서 결로된 물을 증발시킨 상태로 된 후라도 되며, 이들 상태로 되는 동시라도 되며, 이들 상태로 되기 이전이라도 되며, 처리실 내에서 물이 결로되어 있는 상태라도 된다.
본 발명의 실리콘 산화막 형성 장치, 또는 본 발명의 제1 양태에 관한 실리콘 산화막 형성 방법의 실행에 적합한 실리콘 산화막 형성 장치는, (a) 수증기 발생 장치, (b) 처리실에 수증기를 도입하기 위해 처리실의 상부에 배설된 수증기 도입부, (c) 처리실의 하부에 배설되어, 처리실 내의 가스를 배기하기 위한 가스 배기부, (d) 처리실의 바닥에 배설되며, 그리고 처리실과 연통된 기판 이송부, (e) 실리콘층을 가지는 기판을 복수 수납하는 기판 수납부 및 이 기판 수납부의 바닥에 배설된 단열 부재로 구성된 기판 수납 장치, (f) 기판 수납 장치를 승강시켜, 기판 수납 장치를 기판 이송부로부터 처리실로 이송하는 엘리베이터 장치 및 (g) 처리실의 외부에 배설되며, 그리고 실리콘층을 가열하는 가열 장치를 추가로 구비하고 있으며, 결로 방지/증발 수단은 단열 부재의 표면에서의 수증기의 결로를 방지하며, 또는 단열 부재 표면에 결로된 물을 증발시키는 구성으로 하는 것이 바람직하다. 그리고, 결로 방지/증발 수단에 의해, 단열 부재의 표면 온도를 100℃ 이상, 바람직하게는 150℃ 이하의 온도 범위로 제어하는 것이 바람직하다. 여기에서, 단열 부재의 표면 온도를 100℃ 이상, 바람직하게는 150℃ 이하의 온도 범위로 제어하는 시점은, 최소한 기판을 처리실로부터 이송하기 전이면 되며, 요는 이와 같은 온도 범위로 단열 부재의 표면 온도를 유지함으로써, 처리실 내에서 수증기가 결로되는 것을 방지하며, 또는 처리실 내에서 결로된 물을 증발시킬 수 있으면 된다. 즉, 실리콘 산화막을 형성하기 전부터 단열 부재의 표면 온도를 이와 같은 온도 범위로 제어하고, 실리콘 산화막의 형성 중으로부터 기판을 처리실로부터 이송하기 직전까지 계속하여 단열 부재의 표면 온도를 이와 같은 온도 범위로 제어해도 되며, 실리콘 산화막의 형성 개시로부터 또는 개시 중에 단열 부재의 표면 온도를 이와 같은 온도 범위로 제어하고, 기판을 처리실로부터 이송하기 직전까지 계속하여 단열 부재의 표면 온도을 이와 같은 온도 범위로 제어해도 되며, 실리콘 산화막의 형성 완료 후, 기판을 처리실로부터 이송하기 직전까지의 사이, 단열 부재의 표면 온도를 이와 같은 온도 범위로 제어해도 된다.
결로 방지/증발 수단을 불활성 가스원, 처리실에 배설된 불활성 가스 도입부, 불활성 가스 도입부와 불활성 가스원을 접속하는 배관 및 처리실 내에 도입되는 불활성 가스를 가열하기 위한 가열 수단으로 구성할 수 있으며, 이 경우, 처리실에 도입된 불활성 가스의 흐름이 단열 부재에 충돌하도록, 불활성 가스 도입부가 처리실에 배치되어 있는 것이 바람직하고, 또는 결로 방지/증발 수단은 가스 배기부로부터 배기된 가스 중의 수분량을 측정하는 수단(이하, 수분량 측정 수단이라고 함)을 추가로 구비하여, 가스 배기부로부터 배기된 가스 중의 수분량이 소정의 값이하로 된 후, 기판을 처리실로부터 이송하는 것이 바람직하다. 여기에서, 불활성 가스로서는, 질소 가스, 알곤 가스, 헬륨 가스를 예시할 수 있다. 또, 수분량 측정 수단으로서는 공지의 습도 센서 또는 노점계(露点計)를 들 수 있다. 그리고, 처리실에 도입된 불활성 가스의 흐름이 직접 실리콘층에 충돌하면, 실리콘 산화막의 막 두께 변동이나 면 내 막 두께 불균일이 생길 우려가 있다. 가스 배기부로부터 배기되는 가스의 온도가 수분량 측정 수단에 의해 계측될 수 있는 가스 온도보다도 높은 경우에는, 가스 배기부와 수분량 측정 수단과의 사이에 가스 냉각 수단을 배설하면 된다. 기판을 처리실에 이송 중에 처리실 내에의 불활성 가스의 도입을 개시해도 되며, 실리콘 산화막을 형성하기 전부터 처리실 내에의 불활성 가스의 도입을 개시해도 되며, 실리콘 산화막의 형성 개시로부터 또는 개시 중에 처리실에의 불활성 가스의 도입을 개시해도 되며, 실리콘 산화막의 형성 완료 후, 처리실 내에의 불활성 가스의 도입을 개시해도 된다. 또, 기판을 처리실로부터 이송하기 직전, 이송 중, 또는 이송 후에 처리실에의 불활성 가스의 도입을 정지하면 된다.
또는, 결로 방지/증발 수단은 처리실의 외부에 배설되며, 그리고 단열 부재를 가열하는 보조 가열 장치로 구성할 수도 있으며, 이 경우, 결로 방지/증발 수단은 또한 단열 부재 표면의 온도를 검출하는 온도 검출 수단 및 보조 가열 장치를 제어하기 위한 제어 장치로 구성되어 있는 것이 바람직하다. 여기에서, 보조 가열 장치로서 히터, 또는 배관 및 그 속을 흐르는 열 매체를 들 수 있다. 히터의 형식으로서는, 저항 가열 방식, 램프 가열 방식을 들 수 있다. 온도 검출 수단으로서, 단열 부재의 표면에 장착되고, 또는 단열 부재의 표면에 내장된열전대(熱電對)(thermocouple)를 예시할 수 있지만, 어떠한 형식의 온도 검출 수단이라도 된다. 단열 부재의 하부 쪽이 상부보다도 한층 냉각되기 쉬어, 하부의 표면에 결로가 생기기 쉬우므로, 온도 검출 수단은 단열 부재의 하부의 표면에 장착되고, 또는 내장되어 있는 것이 바람직하다. 실리콘 산화막을 형성하기 전부터 보조 가열 장치의 동작을 개시해도 되며, 실리콘 산화막의 형성 개시로부터 또는 개시 중에 보조 가열 장치의 동작을 개시해도 되며, 실리콘 산화막의 형성 완료 후, 보조 가열 장치의 동작을 개시해도 된다. 또, 기판을 처리실로부터 이송하기 직전, 이송 중, 또는 이송 후에 보조 가열 장치의 동작을 정지하면 된다.
본 발명의 실리콘 산화막 형성 장치에 있어서는, 수증기 발생 장치를,
(A) 수소 가스와 산소 가스를 고온으로 반응시킴으로써 수증기를 생성시키는 장치,
(B) 순수(純水)를 가열함으로써 수증기를 생성시키는 장치,
(C) 산소 가스 또는 불활성 가스에 의해 가열 순수를 버블링(bubbling)함으로써 수증기를 생성시키는 장치,
(D) 촉매 하에, 수소 가스와 산소 가스를 반응시킴으로써 수증기를 생성시키는 장치,
(E) 산소 플라즈마와 수소 플라즈마의 반응에 따라 수증기를 생성시키는 장치
중 최소한 1종류의 장치로 할 수 있다.
또, 본 발명의 제1 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 처리실에 도입되는 수증기를 (A) 수소 가스와 산소 가스를 고온으로 반응시킴으로써, (B) 순수를 가열함으로써, (C) 산소 가스 또는 불활성 가스에 의해 가열 순수를 버블링함으로써, (D) 촉매 하에, 수소 가스와 산소 가스를 반응시킴으로써, 또는 (E) 산소 플라즈마와 수소 플라즈마의 반응에 따라 수증기를 생성시키는 것이 바람직하다. 그리고, 이들 수증기 발생 방법을 조합하여 수증기를 발생시켜도 되고, 1종류의 수증기 발생 방법을 채용하여 수증기를 발생시켜도 된다.
상기 목적을 달성하기 위한 본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법은, 실리콘층을 가지는 기판을 처리실로 이송 후, 처리실에 수증기를 도입하여 실리콘층 표면을 열산화하고, 이어서 처리실 내를 불활성 가스 분위기로 치환하여, 처리실 내의 수증기 및 결로된 물을 처리실로부터 제거한 후, 기판을 처리실로부터 이송하는 공정으로 이루어지는 것을 특징으로 한다.
본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 수증기 및 결로된 물을 처리실로부터 제거할 때, 처리실로부터 배기된 불활성 가스 중의 수분량을 측정하여, 수분량이 소정의 값 이하로 된 후, 기판을 처리실로부터 이송하는 것이 바람직하다. 이 경우, 소정의 값은 기판을 처리실로부터 이송했을 때, 이송에 사용되는 장치에 수증기의 결로가 생기지 않는 값이면 되며, 즉 처리실 외부의 대기에서의 포화(飽和) 증기압 이하이면 되며, 예를 들면, 건조 불활성 가스 1kg당 0.02kg으로 하는 것이 바람직하지만, 이러한 값에 한정되는 것은 아니다. 또, 실리콘층 표면을 열산화할 때의 처리실 분위기 온도와, 처리실 내의 수증기 및 결로된 물을 처리실로부터 제거할 때의 처리실 분위기 온도는 거의 동일한 것이 바람직하며, 이 경우, 실리콘층 표면을 열산화할 때의 처리실 분위기 온도는 750℃ 이하인 것이 바람직하다. 여기에서, 「거의 동일하다」는 것은, 엄밀히 온도가 동일한 경우뿐만 아니라, 약간 (예를 들면 20℃ 전후) 분위기 온도가 상이해도 되는 것을 의미한다. 다음에 있어서도 동일하다. 실리콘층 표면을 열산화할 때의 처리실 분위기 온도를 이와 같은 값으로 함으로써, 실리콘 산화막의 박막화라고 하는 요청을 만족시킬 수 있고, 나아가 기판에 가해지는 열 쇼크를 경감할 수 있다.
본 발명의 제1 또는 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 처리실에 수증기를 도입할 때, 수증기는 산소 가스, 공기, 질소 가스나 알곤 가스, 헬륨 가스 등의 불활성 가스에 동반되고 있는 상태로 할 수 있다.
또한, 실리콘 표면층을 열산화할 때의 처리실의 분위기 중에는, 할로겐 원소가 함유되어 있어도 된다. 이에 따라, 타임 제로 절연 파괴(TZDB) 특성 및 경시(經時) 절연 파괴(TDDB) 특성이 우수한 실리콘 산화막을 얻을 수 있다. 그리고, 할로겐 원소로서 염소, 취소(臭素), 불소를 들 수 있지만, 그 중에서도 염소인 것이 바람직하다. 분위기 중에 함유되는 할로겐 원소의 형태로서는, 예를 들면 염화 수소(HCl), CCl4, C2HCl3, Cl2, HBr, NF3를 들 수 있다. 분위기 중의 할로겐 원소의 함유율은 분자 또는 화합물의 형태를 기준으로 하여, 0.001∼10 용량%, 바람직하게는 0.005∼10 용량%, 더욱 바람직하게는 0.02∼10 용량%이다. 예를 들면 염화 수소 가스를 사용하는 경우, 염화 수소 가스 함유율은 0.02∼10 용량%인 것이 바람직하다.
본 발명의 제1 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 처리실 내에서 실리콘층 표면을 열산화한 후, 처리실 내에서 수증기가 결로되어 있지 않은 상태로 하고, 또는 처리실 내에서 결로된 물을 증발시킨 상태에서, 처리실 내를 불활성 가스로 치환하여, 수증기를 처리실 내로부터 제거한 후, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시한 후, 기판을 처리실로부터 이송해도 된다. 그리고, 처리실 내를 불활성 가스로 치환하기 시작하는 시점은 처리실 내에서 수증기가 결로되어 있지 않은 상태. 또는 처리실 내에서 결로된 물을 증발시킨 상태로 된 후라도 되며, 이들 상태로 되는 동시라도 되며, 이들 상태로 되기 이전이라도 되며, 처리실 내에서 물이 결로되어 있는 상태라도 된다. 다음에 있어서도 동일하다. 또, 경우에 따라서는, 처리실 내에서 실리콘층 표면을 열산화한 후, 처리실 내에서 수증기가 결로되어 있지 않은 상태로 하고, 또는 처리실 내에서 결로된 물을 증발시킨 상태에서, 처리실 내를 불활성 가스로 치환하여, 수증기를 처리실 내로부터 제거한 후, 기판을 처리실로부터 이송하고, 그 후, 재차 처리실에 기판을 이송하여, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시해도 된다. 또는, 기판을 실리콘 산화막 형성장치로부터 이송한 후, 기판을 열처리 장치 내로 이송하여, 이 열처리 장치의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시해도 된다. 또, 본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 처리실 내의 수증기 및 결로된 물을 처리실로부터 제거한 후, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시한 후, 기판을 처리실로부터 이송해도 된다. 또는, 기판을 처리실로부터 이송한 후, 기판을 열처리 장치 내로 이송하여, 이 열처리 장치의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시해도 된다. 할로겐 원소를 함유하는 불활성 가스 분위기 중에서 실리콘 산화막을 열처리함으로써, 실리콘층의 열산화에 의해 발생한 격자(格子) 간 실리콘 원자가 실리콘 결정(結晶) 중에 확산되는 결과, 계면(界面) 준위(準位)를 저감할 수 있으며, 할로겐 원자에 의한 미결합 부위의 종단(終端) 효과, 금속 불순물의 제거나 수산기(水酸基)의 탈수 제거 효과에 의해, 타임 제로 절연 파괴(TZDB) 특성 및 경시 절연 파괴(TDDB) 특성이 우수한 실리콘 산화막을 얻을 수 있다. 열처리에서의 불활성 가스로서는, 질소 가스, 알곤 가스, 헬륨을 예시할 수 있다. 또, 할로겐 원소로서 염소, 취소, 불소를 들 수 있지만, 그 중에서도 염소인 것이 바람직하다. 불활성 가스 중에 함유되는 할로겐 원소의 형태로서는, 예를 들면 염화 수소(HCl), CCl4, C2HCl3, Cl2, HBr, NF3를 들 수 있다. 불활성 가스 중의 할로겐 원소의 함유율은 분자 또는 화합물의 형태를 기준으로 하여, 0.001∼10 용량%, 바람직하게는 0.005∼10 용량%, 더욱 바람직하게는 0.02∼10 용량%이다. 예를 들면 염화 수소 가스를 사용하는 경우, 불활성 가스 중의 염화 수소 가스 함유율은 0.02∼10 용량%인 것이 바람직하다.
그리고, 본 발명의 제1 양태에 관한 실리콘 산화막 형성 방법에 있어서, 열산화 후, 계속하여 처리실 내에서 열처리를 하는 경우에는, 열처리에서의 처리실분위기 온도를, 실리콘층 표면을 열산화할 때의 처리실 분위기 온도를 700∼1200℃, 바람직하게는 700∼1000℃, 더욱 바람직하게는 700∼950℃로 하는 것이 바람직하고, 또는 열처리에서의 처리실 분위기 온도를 실리콘층 표면을 열산화할 때의 처리실 분위기 온도와 거의 동일하게 하는 것이 바람직하다. 한편, 본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서, 열산화 후, 계속하여 처리실 내에서 열처리를 하는 경우에는, 열처리에서의 처리실 분위기 온도를, 실리콘층 표면을 열산화할 때의 처리실 분위기 온도와 거의 동일하게 하는 것이 바람직하다. 이들 경우의 열처리 시간은 5∼60분, 바람직하게는 10∼40분, 더욱 바람직하게는 20∼30분인 것이 바람직하다. 처리실 내에서 수증기가 결로되어 있지 않은 상태로 하고, 또는 처리실 내에서 결로된 물을 증발시킨 후, 또는 처리실 내의 수증기 및 결로된 물을 처리실로부터 제거한 후, 열처리를 하므로, 열처리의 개시 시, 예를 들면 염화 수소가 물과 반응하여 염산이 생성되는 것을 확실하게 방지할 수 있다.
한편, 본 발명의 제1 또는 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서, 열처리를 실리콘 산화막 형성 장치와는 상이한 열처리 장치 내에서 행하는 경우, 매엽(枚葉)(single wafer) 처리로 할 수도 있지만, 노(爐) 어닐(anneal) 처리로 하는 것이 바람직하다. 이 경우, 열처리 온도는 700∼1200℃, 바람직하게는 700∼1000℃, 더욱 바람직하게는 700∼950℃이다. 또, 열처리를 노 어닐 처리로 하는 경우의 열처리 시간은 5∼10분, 바람직하게는 10∼40분, 더욱 바람직하게는 20∼30분인 것이 바람직하다. 한편, 열처리를 매엽 처리로 하는 경우의 열처리 시간은 1∼10분으로 하는 것이 바람직하다.
열처리를 할로겐 원소를 함유하는 불활성 가스 분위기를 대기압보다도 감압한 상태에서 해도 된다.
또, 열처리 후, 실리콘 산화막을 질화 처리해도 된다. 이 경우, 질화 처리를 N2O 가스, NO 가스, NO2가스 분위기 중에서 하는 것이 바람직하지만, 그 중에서도 N2O 가스 분위기 중에서 하는 것이 바람직하다. 또는, 질화 처리를 NH3가스, N2H4, 히드라진(hydrazine) 유도체 분위기 중에서 하고, 그 후 N2O 가스, O2분위기 중에서 어닐 처리를 하는 것이 바람직하다. 질화 처리를 700 내지 1200℃, 바람직하게는 800 내지 1150℃, 더욱 바람직하게는 900 내지 1100℃의 온도에서 하는 것이 바람직하고, 이 경우 실리콘층의 가열을 적외선 조사(照射), 노 어닐 처리에 의해 하는 것이 바람직하다. 또는, 열처리의 분위기를 질소계 가스 분위기로 해도 된다. 여기에서 질소 가스로서는 N2, NH3, N2O, NO2, NO를 예시할 수 있다.
실리콘 반도체 기판을 기초로 하여 MOS 반도체 장치를 제조하는 경우, 종래 게이트 절연막을 성막하기 전에, NH4OH/H2O2수용액으로 세정하고 다시 HCl/H2O2수용액으로 세정한다고 하는 RCA 세정에 의해 실리콘 반도체 기판의 표면을 세정하여, 그 표면으로부터 미립자나 금속 불순물을 제거한다. 그런데, RCA 세정을 하면, 실리콘 반도체 기판의 표면은 세정액과 반응하여, 두께 0.5∼1nm 정도의 실리콘 산화막이 형성된다. 이러한 실리콘 산화막의 막 두께는 불균일하고, 나아가 이 실리콘 산화막 중에는 세정액 성분이 잔류한다. 그래서, 불화 수소산 수용액에 실리콘 반도체 기판을 침지(沈漬)하여, 이러한 실리콘 산화막을 제거하고, 다시 순수로 약액 성분을 제거한다. 이에 따라, 대부분이 수소로 종단(終端)되고, 극히 일부가 불소로 종단된 실리콘 반도체 기판의 표면을 얻을 수 있다. 그리고, 이와 같은 공정에 따라, 대부분이 수소로 종단되고, 극히 일부가 불소로 종단된 실리콘 반도체 기판의 표면을 얻는 것을 본 명세서에서는, 실리콘 반도체 기판의 표면을 노출시킨다고 표현한다. 그 후, 이러한 실리콘 반도체 기판의 표면에 절연막 등을 형성한다. 그런데, 절연막 등을 형성하기 전의 분위기를 고온의 비산화(非酸化) 분위기(예를 들면 질소 가스 분위기)로 하면, 실리콘 반도체 기판의 표면에 거칠함(요철(凹凸))이 생긴다. 이와 같은 현상은 불화 수소산 수용액 및 순수에서의 세정에 의해 실리콘 반도체 기판의 표면에 형성된 Si-H 결합의 일부 또는 Si-F 결합의 일부가 수소나 불소의 승온(昇溫) 탈리(脫離)에 의해 잃어 버려져, 실리콘 반도체 기판의 표면에 에칭 현상이 생기는 것에 기인한다고 생각되고 있다. 예를 들면, 알곤 가스 중에서 실리콘 반도체 기판을 600℃ 이상으로 승온하면 실리콘 반도체 기판의 표면에 심한 요철이 생기는 것이, 배후칸(培風館) 발행, 오미 다다히로(大見忠弘) 저 「울트라클린(Ultraclean) ULSI 기술」, 제21항에 기재되어 있다.
본 발명의 제1 양태 또는 제2 양태에 관한 실리콘 반도체 기판의 형성 방법에 있어서, 이와 같은 현상의 발생을 방지하기 위해서는, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서 실리콘층 표면의 열산화를 개시하는 것이 바람직하다. 또는, 500℃ 이하, 바람직하게는 450℃ 이하, 보다 바람직하게는 400℃ 이하의 분위기 온도에서 실리콘층 표면의 열산화를 개시하는 것이 바람직하다.
그리고, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도는 실리콘층 표면을 종단하고 있는 원자와 실리콘 원자와의 결합이 절단되지 않는 온도인 것이 바람직하다. 이 경우, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 온도는 실리콘층 표면의 Si-H 결합이 절단되지 않는 온도, 또는 실리콘층 표면의 Si-F 결합이 절단되지 않는 온도인 것이 바람직하다. 면 방위가 (100)인 실리콘 반도체 기판을 사용하는 경우, 실리콘 반도체 기판의 표면에서의 수소 원자의 대부분이 실리콘 원자의 2개 결합제의 각각에 1개씩 결합되어 있고, H-Si-H의 종단 구조를 가진다. 그런데, 실리콘 반도체 기판의 표면 상태가 붕괴된 부분(예를 들면 스텝 형성 개소)에는, 실리콘 원자의 1개의 결합제에만 수소 원자가 결합된 상태의 종단 구조, 또는 실리콘 원자의 3개 결합제의 각각에 수소 원자가 결합된 상태의 종단 구조가 존재한다. 그리고, 통상 실리콘 원자의 나머지 결합제는 결정 내부의 실리콘 원자와 결합하고 있다. 본 명세서에서의 「Si-H 결합」이라고 하는 표현에는, 실리콘 원자의 2개 결합제의 각각에 수소 원자가 결합된 상태의 종단 구조, 실리콘 원자의 1개 결합제에만 수소 원자가 결합된 상태의 종단 구조, 또는 실리콘 원자의 3개 결합제의 각각에 수소 원자가 결합된 상태의 종단 구조 모두가 포함된다. 실리콘층의 표면에 실리콘 산화막의 형성을 개시할 때의 분위기 온도는 보다 구체적으로는, 200℃ 이상, 바람직하게는 300℃ 이상으로 하는 것이 스루풋(throughput)의 면에서 바람직하다.
본 발명의 제1 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 산화 프로세스 완료 시의 분위기 온도를, 실리콘층의 표면에 실리콘 산화막의 형성을 개시할 때의 분위기 온도와 동일하게 해도 되며, 실리콘 산화막의 형성을 개시할 때의 분위기 온도보다도 높게 해도 된다. 후자의 경우, 산화 프로세스가 완료되었을 때의 분위기 온도를 600 내지 1200℃, 바람직하게는 700 내지 1000℃ 이하, 한층 바람직하게는 750 내지 900℃로 하는 것이 바람직하지만, 이와 같은 값에 한정되는 것은 아니다. 또한, 후자의 경우, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서, 실리콘층 표면의 열산화를 개시한 후, 소정 기간 실리콘층 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위로 분위기를 유지하여 열산화 하는 제1 실리콘 산화막 형성 공정과, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위보다도 높은 분위기 온도에서, 원하는 두께의 실리콘 산화막이 얻어질 때까지 실리콘층 표면을 다시 열산화하는 제2 실리콘 산화막 형성 공정을 포함하는 형태로 할 수 있다. 그리고, 제2 실리콘 산화막 형성 공정에서의 실리콘 산화막의 형성 온도를 600 내지 1200℃, 바람직하게는 700 내지 1000℃ 이하, 한층 바람직하게는 750 내지 900℃로 하는 것이 바람직하다. 여기에서, 제1 실리콘 산화막 형성 공정과 제2 실리콘 산화막 형성 공정에서 동일 산화법을 채용해도 되며, 상이한 산화법을 채용해도 된다. 제1 실리콘 산화막 형성 공정, 제2 실리콘 산화막 형성 공정, 또는 제1 실리콘 산화막 형성 공정 및 제2 실리콘 산화막 형성 공정에서의 수증기는 질소 가스, 알곤 가스, 헬륨 가스 등의 불활성 가스로 동반(同伴)되어 있어도 된다. 제1 실리콘 산화막 형성 공정의 완료 후, 처리실 내의 분위기 온도를 승온하여, 제2 실리콘 산화막 형성 공정을 실행한 후, 처리실 내에서 수증기가 결로되어 있지 않은 상태로 하고, 또는 처리실 내에서 결로된 물을 증발시킨 상태에서, 처리실 내를 불활성 가스로 치환하여, 수증기를 처리실 내로부터 제거한 후, 기판을 처리실로부터 이송해도 된다. 또는, 제1 처리실 내에서 제1 실리콘 산화막 형성 공정을 실행한 후, 제1 처리실 내에서 수증기가 결로되어 있지 않은 상태로 하고, 또는 제1 처리실 내에서 결로된 물을 증발시킨 상태에서, 제1 처리실 내를 불활성 가스로 치환하여, 수증기를 제1 처리실 내로부터 제거한 후, 기판을 제1 처리실로부터 이송하고, 제2 처리실 내로 기판을 이송하여, 제2 실리콘 산화막 형성 공정을 제2 처리실 내에서 실행하고, 이어서, 제2 처리실 내에서 수증기가 결로되어 있는 않은 상태로 하고, 또는 제2 처리실 내에서 결로된 물을 증발시킨 상태에서, 제2 처리실 내를 불활성 가스로 치환하여, 수증기를 제2 처리실 내로부터 제거한 후, 이러한 제2 처리실로부터 기판을 이송해도 된다. 그리고, 이 경우, 기판 이송부를 공통으로 하고, 기판 이송부의 상부에 2개의 처리실이 배설된 구조의 실리콘 산화막 형성 장치를 사용해도 되며, 2개의 실리콘 산화막 형성 장치를 사용해도 된다. 후자의 경우, 2개의 실리콘 산화막 형성 장치를 진공 반송로 또는 불활성 가스로 채워진 반송로에서 연결하는 것이 바람직하다. 제1 실리콘 산화막 형성 공정 및 제2 실리콘 산화막 형성 공정을 동일 처리실 내에서 하는 경우라도, 상이한 처리실 내에서 하는 경우라도, 제2 실리콘 산화막 형성 공정의 실행 후, 열처리를 실시해도 된다.
본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서도, 산화 프로세스 완료 시의 분위기 온도를, 실리콘층의 표면에 실리콘 산화막의 형성을 개시할때의 분위기 온도와 동일하게 해도 되며, 실리콘 산화막의 형성을 개시할 때의 분위기 온도보다도 높게 해도 된다. 후자의 경우, 산화 프로세스가 완료되었을 때의 분위기 온도를 750℃ 이하, 바람직하게는 600 내지 750℃로 하는 것이 바람직하지만, 이와 같은 값에 한정되는 것은 아니다. 또한, 후자의 경우, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서, 실리콘층 표면의 열산화를 개시한 후, 소정 기간 실리콘층 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위로 분위기를 유지하여 열산화를 하는 제1 실리콘 산화막 형성 공정과, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위보다도 높은 분위기 온도에서, 원하는 두께의 실리콘 산화막이 얻어질 때까지 실리콘층 표면을 다시 열산화하는 제2 실리콘 산화막 형성 공정을 포함하는 형태로 할 수 있다. 그리고, 제2 실리콘 산화막 형성 공정에서의 실리콘 산화막의 형성 온도를 750℃ 이하, 바람직하게는 600 내지 750℃로 하는 것이 바람직하다. 여기에서, 제1 실리콘 산화막 형성 공정과 제2 실리콘 산화막 형성 공정에서 동일 산화법을 채용해도 되며, 상이한 산화법을 채용해도 된다. 제1 실리콘 산화막 형성 공정, 제2 실리콘 산화막 형성 공정, 또는 제1 실리콘 산화막 형성 공정 및 제2 실리콘 산화막 형성 공정에서의 수증기는 질소 가스, 알곤 가스, 헬륨 가스 등의 불활성 가스로 동반되어 있어도 된다. 제1 실리콘 산화막 형성 공정의 완료 후, 처리실 내의 분위기 온도를 승온하여, 제2 실리콘 산화막 형성 공정을 실행한 후, 처리실 내의 수증기 및 결로된 물을 처리실로부터 제거한 후, 기판을 처리실로부터 이송해도 된다. 또는, 제1 처리실 내에서 제1 실리콘 산화막 형성 공정을 실행한 후, 제1 처리실 내의 수증기및 결로된 물을 제1 처리실로부터 재제하고, 이어서 기판을 제1 처리실로부터 이송하고, 제2 처리실 내로 기판을 이송하여, 제2 실리콘 산화막 형성 공정을 제2 처리실 내에서 실행하고, 제2 처리실 내의 수증기 및 결로된 물을 제2 처리실로부터 제거한 후, 이러한 제2 처리실로부터 기판을 이송해도 된다. 그리고, 이 경우, 기판 이송부를 공통으로 하고, 기판 이송부의 상부에 2개의 처리실이 배설된 구조의 실리콘 산화막 형성 장치를 사용해도 되며, 2개의 실리콘 산화막 형성 장치를 사용해도 된다. 후자의 경우, 2개의 실리콘 산화막 형성 장치를 진공 반송로 또는 불활성 가스로 채워진 반송로에서 연결하는 것이 바람직하다. 제1 실리콘 산화막 형성 공정 및 제2 실리콘 산화막 형성 공정을 동일 처리실 내에서 하는 경우라도, 상이한 처리실 내에서 하는 경우라도, 제2 실리콘 산화막 형성 공정의 실행 후, 열처리를 실시해도 된다.
제2 실리콘 산화막 형성 공정을 거친 후의 최종적인 실리콘 산화막의 막 두께는 반도체 장치에 요구되는 소정 두께로 하면 된다. 한편, 제1 실리콘 산화막 형성 공정을 거친 후의 실리콘 산화막의 막 두께는, 될 수 있는 한 얇은 것이 바람직하다. 다만, 현재 반도체 장치의 제조에 사용되고 있는 실리콘 반도체 기판의 면 방위는 대부분의 경우 (100)이며, 아무리 실리콘 반도체 기판의 표면을 평활화해도 (100) 실리콘의 표면에는 반드시 스텝이라도 불리는 단차(段差)가 형성된다. 이 스텝은 통상 실리콘 원자 1층분이지만, 경우에 따라서는 2∼3층분의 단차가 형성되는 일이 있다. 따라서, 제1 실리콘 산화막 형성 공정을 거친 후의 실리콘 산화막의 막 두께는 실리콘층으로서 (100) 실리콘 반도체 기판을 사용하는 경우, 1nm 이상으로하는 것이 바람직하지만, 이에 한정되는 것은 아니다.
통상, 실리콘층에 실리콘 산화막을 형성하기 전에, NH4OH/H2O2수용액으로 세정하고 다시 HCl/H2O2수용액으로 세정한다고 하는 RCA 세정에 의해 실리콘층의 표면을 세정하고, 그 표면으로부터 미립자나 금속 불순물을 제거한 후, 불화 수소산 수용액에 실리콘층을 침지한다. 그런데, 그 후, 실리콘 층이 대기에 노출되면, 실리콘층의 표면이 오염되어 수분이나 유기물이 실리콘층의 표면에 부착하고, 또는 실리콘층 표면의 Si 원자가 수산기(水酸基)(OH)와 결합될 우려가 있다(예를 들면, 문헌 "Highly-reliable Gate Oxide Formation for Giga-Scale LSIs by using Closed Wet Cleaning System and Wet Oxidation with Ultra-Dry Unloading", J. Yugami, et al., Internatonal Electron Device Meeting Technical Digest 95, pp 855-858 참조). 이와 같은 경우, 그대로의 상태에서 실리콘 산화막의 형성을 개시하면, 형성된 실리콘 산화막 중에 수분이나 유기물, 또는 Si-OH가 수납되어, 형성된 실리콘 산화막의 특성 저하 또는 결함 부분의 발생 원인이 될 수 있다. 그리고, 결함 부분이란 실리콘댕글링 본드(silicon-dangling bond)(Si·)나 Si-H 결합이라고 하는 결함이 포함되는 실리콘 산화막의 부분, 또는 Si-O-Si 결합이 응력에 의해 압축되고 또는 Si-O-Si 결합의 각도가 두꺼운 또는 벌크(bulk) 실리콘 산화막 중 Si-O-Si 결합의 각도와 상이하다고 한는 Si-O-Si 결합이 포함된 실리콘 산화막의 부분을 의미한다. 그러므로, 이와 같은 문제의 발생을 회피하기 위해, 실리콘 산화막을 형성하기 전에 실리콘층 표면을 세정하는 공정을 포함하여, 표면 세정 후의실리콘층을 대기에 노출시키지 않고(즉, 예를 들면 실리콘층 표면에 세정으로부터 실리콘 산화막 형성의 개시까지의 분위기를 불활성 가스 분위기 또는 진공 분위기로 하고), 실리콘 산화막의 형성 공정을 실행하는 것이 바람직하다. 이에 따라, 대부분이 수소로 종단되고, 극히 일부가 불소로 종단된 표면을 가지는 실리콘층에 실리콘 산화막을 형성할 수 있어, 형성된 실리콘 산화막의 특성 저하 또는 결함 부분의 발생을 방지할 수 있다.
본 발명의 제1 또는 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서, 실리콘층이란, 실리콘 반도체 기판 등의 기판 그것뿐만 아니라, 기판 위에 형성된 에피텍셜(epitaxial) 실리콘층(선택 에피택셜 성장법으로 형성된 에피택셜 실리콘층을 포함함), 다결정 실리콘층, 또는 비정질(非晶質) 실리콘층, 이른바 적층 성형법이나 SIMOX법에 따라 제조된 SOI 구조에서의 실리콘층, 또는 기판이나 이들 층에 반도체 소자나 반도체 소자의 구성 요소가 형성된 것 등, 실리콘 산화막을 형성해야 할 실리콘층(바탕)을 의미한다. 실리콘 반도체 기판의 제작 방법은 CZ법, MCZ법, DLCZ법, FZ법 등 어떠한 방법이라도 되며, 또 미리 고온의 수소 어닐 처리를 하여 결정 결함을 제거한 것이라도 된다. 그리고, 실리콘층이 실리콘 반도체 기판 등의 기판 그것인 경우에는, 실리콘 반도체 기판 등이 기판에 상당한다. 그 밖의 경우에는 기판 등이 기판에 상당한다.
본 발명의 실리콘 산화막 형성 방법은, 예를 들면 MOS형 트랜지스터의 게이트 산화막, 층간 절연막이나 소자 분리 영역의 형성, 톱(top) 게이트형 또는 보텀(bottom) 게이트형 박막 트랜지스터의 게이트 산화막의 형성, 플래시(flash)메모리의 터널 산화막 형성 등, 각종 반도체 장치에서의 실리콘 산화막 형성에 적용할 수 있다.
본 발명의 실리콘 산화막 형성 장치 또는 제1 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 결로 방지/증발 수단을 구비하고 있기 때문에, 또 본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법에 있어서는, 처리실 내를 불활성 가스 분위기로 치환하여, 처리실 내의 수증기 및 결로된 물을 처리실로부터 제거한 후, 기판을 처리실로부터 이송하기 때문에, 실리콘 산화막 형성 장치를 구성하는 금속 부재가 수분에 의해 부식되지 않고, 또 실리콘 반도체 기판의 표면에 워터 마크와 유사한 얼룩이 생기는 결과, 실리콘 산화막의 막 두께의 면내 불균일화를 일으킨다고 하는 문제가 생기는 것을 방지할 수 있다. 특히, 실리콘 산화막의 형성을 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서 하는 경우, 이들 문제가 생기기 쉽지만, 본 발명에 의해 이들 문제의 발생을 확실하게 방지할 수 있다. 또는 처리실 내에서 실리콘 산화막의 형성에 계속하여, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시하는 경우, 처리실 내에 수분이 잔존해 있으면, 예들 들면 염산이 생성되어, 실리콘 산화막 형성 장치를 구성하는 금속 부재가 염산에 의해 부식되지만, 본 발명에서는 이와 같은 현상의 발생을 확실하게 방지하는 것이 가능하게 된다.
다음에, 도면을 참조하여 본 발명의 실시 형태(이하, 실시 형태라고 약칭함)에 따라 본 발명을 설명한다.
(실시 형태 1)
실시 형태 1은 본 발명의 실리콘 산화막 형성 장치 및 제1 양태에 관한 실리콘 산화막 형성 방법에 관한 것이다. 실시 형태 1의 실리콘 산화막 형성 장치의 개요를 도 1에 나타냈다. 이 종형 방식의 실리콘 산화막 형성 장치는, 수직 방향으로 지지된 석영제의 2중관 구조의 처리실(10)과, 처리실(10)에 수증기 등을 도입하기 위해 처리실의 상부에 배설된 수증기 도입부(12)와, 처리실(10)의 하부에 배설되어, 처리실(10)의 가스를 배기하기 위한 가스 배기부(13)와, SiC로 이루어지는 원통형의 균열관(16)을 통해 처리실(10) 내를 소정 분위기 온도로 유지하고, 실리콘층에 상당하는 실리콘 반도체 기판(50)을 가열하기 위한, 처리실(10)의 외부에 배설된 가열 장치인 저항 가열 방식의 히터(14)와, 처리실(10)의 바닥에 배설되고, 그리고 처리실(10)과 연통된 기판 이송부(20)와, 기판 이송부(20)에 질소 가스를 도입하기 위한 가스 도입부(21)와, 기판 이송부(20)로부터 가스를 배기하는 가스 배기부(22)와, 처리실(10)과 기판 이송부(20)를 간막이하는 셔터(15)와, 기판 수납 장치와, 기판 수납 장치를 승강시켜, 기판 수납 장치를 처리실(10) 내에 이송하기 위한 엘리베이터 장치(23)로 구성되어 있다. 그리고, 실리콘층에 상당하는 실리콘 반도체 기판(50)을 가열하기 위해, 처리실(10)의 외부에 배설된 가열 장치와, 적외선 또는 가시광(可視光)을 발하는 복수의 램프로 구성해도 된다.
기판 수납 장치는 실리콘층을 가지는 기판(구체적으로는 실리콘 반도체 기판(50))를 수납, 얹어 놓는 기판 수납부(보트(boat)라고도 함)(24)와, 기판 수납부(24)의 바닥에 배설된 단열 부재(25)로 구성되어 있다. 또한, 기판 수납 장치는 단열 부재(25)를 장착하기 위한 기부(26)로 구성되고, 기부(26)는 엘리베이터장치(23)에 장착되어 있다. 기판 수납부(24)는 석영 또는 SiC로 제작되어 있다. 또, 기부(26)의 상면 외주부에는 예를 들면 O링으로 이루어지는 실 부재(27)가 장착되어, 기판 수납부(24)가 처리실(10) 내에 이송되었을 때, 처리실(10)의 바닥부는 기부(26)에 의해 밀폐되는 구조로 되어 있다. 단열 부재(25)는 상면 및 바닥면이 닫힌 중공(中空) 원통형의 석영 유리제의 부재이며, 중공부에는, 예를 들면 유리 섬유가 충전되어 있다. 또한, 단열 부재(25) 부근의 처리실(10) 외부에는, 냉각수를 흐르게 하기 위한 배관(17)이 배설되어 있다. 또, 기부(26)는 그 내부에 냉각수를 흐르게 할 수 있는 구조로 되어 있다. 단열 부재(25)를 배설하여, 배관(17) 및 기부(26) 내로 냉각수를 흐르게 함으로써, 처리실(10) 내의 복사열이 기부(26)에 직접 전달되는 결과, 실 부재(27)가 손상되고, 또는 엘리베이터 장치(23)의 동작이 불량해지는 것을 방지할 수 있다.
수증기 발생 장치는 연소실(30)과, 연소실(30)에 산소 가스 및 수소 가스를 공급하기 위한 배관(32, 33) 및 발생한 수증기를 처리실(10)에 공급하기 위한 배관(31)으로 구성되어 있다. 연소실(30)에 공급된 수소 가스를 산소 가스와 연소실(30) 내에서 고온으로 혼합하여 연소시킴으로써, 수증기를 생성시킨다. 즉, 이른바 파이로제닉법으로 수증기를 발생시킨다. 이러한 수증기는 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10) 내에 도입된다. 그리고, 가스 유로(11)는 2중관 구조의 처리실(10)의 내벽 및 외벽 사이의 공간에 상당한다.
실시 형태 1의 실리콘 산화막 형성 장치에는 또한, 단열 부재의 표면에서의 수증기의 결로를 방지하고, 또는 단열 부재 표면에 결로된 물을 증발시키기 위한결로 방지/증발 수단이 구비되어 있다. 이 결로 방지/증발 수단은 불활성 가스원, 처리실(10)에 배설된 불활성 가스 도입부(40), 불활성 가스 도입부(40)와 불활성 가스원을 접속하는 배관 및 처리실(10) 내에 도입되는 불활성 가스를 가열하기 위한 가열 수단으로 구성되어 있다. 그리고, 불활성 가스원, 배관 및 가열 수단의 도시는 생략했다. 실시 형태 1에서는 불활성 가스로서 질소 가스를 사용한다. 그리고, 처리실(10)에 도입된 불활성 가스의 흐름이 단열 부재(25)에 충돌하도록, 불활성 가스 도입부(40)가 처리실(10)에 배설되어 있고, 처리실(10)에 도입된 불활성 가스의 흐름은 실리콘 반도체 기판(50)에 직접 충돌하지 않는다(도 2 참조). 따라서, 수증기 도입부(12)를 통해 처리실(10) 내에 도입된 수증기의 흐름이 흐트러지지 않아, 형성된 실리콘 산화막의 면 내 및 면 사이의 막 두께 불균일성이 생기는 일이 없다. 불활성 가스 도입부(40), 가스 유로(11) 및 가스 배기부(13)의 배치를 모식적으로 도 2에 나타냈지만, 이 도 3은 2중관 구조의 처리실(10)을 상방으로부터 바라본 모식적인 절단도이다. 그리고, 실시 형태 1에 있어서는, 결로 방지/증발 수단에 의해 실 부재(27)에 열화나 손상이 생기고, 또는 기판 수납 장치나 엘리베이터 장치(23)에 손상이 생기지 않는 온도로 단열 부재(25)의 표면 온도를 제어한다. 구체적으로는, 예를 들면 단열 부재(25)의 표면(외면) 온도를 100℃ 내지 150℃의 범위로 제어한다. 그리고, 단열 부재(25)의 표면에 열전대(도시하지 않음)를 장착하고, 또는 단열 부재(25)의 표면에 열전대를 내장하여, 단열 부재(25)의 표면 온도를 이 열전대에 의해 측정하고, 열전대의 출력에 따라 도시하지 않은 제어 장치(예를 들면, PID 컨트롤러)에 의해 불활성 가스를 가열하는 가열 수단(예를 들면, 히터)을 제어하면 된다.
다음에, 도 1에 나타낸 실리콘 산화막 형성 장치를 사용한 실시 형태 1의 실리콘 산화막 형성 방법을 실리콘 산화막 형성 장치의 모식도인 도 2, 실리콘 산화막 형성 장치 등의 개념도인 도 4∼도 7을 참조하여 설명한다.
[공정-100]
먼저, 인을 도프한 직경 8인치의 N형 실리콘 웨이퍼(CZ법으로 제작)인 실리콘 반도체 기판(50)에, 공지의 방법으로 LOCOS 구조를 가지는 소자 분리 영역을 형성하고, 이어서 웰 이온(well ion) 주입, 채널 스톱 이온(channel stop ion) 주입, 스레숄드 조정 이온 주입을 한다. 그리고, 소자 분리 영역은 트렌치(trench) 구조를 가지고 있어도 되며, LOCOS 구조와 트렌치 구조의 조합이라도 된다. 그 후, RCA 세정에 의해 실리콘 반도체 기판(50)의 표면에 미립자나 금속 불순물을 제거하고, 이어서 0.1% 불화 수소산 수용액에 의해 실리콘 반도체 기판(50)의 표면 세정을 하여, 실리콘 반도체 기판(50)의 표면을 노출시킨다. 그리고, 실리콘 반도체 기판(50)의 표면은 대부분이 수소로 종단되어 있고, 극히 일부가 불소로 종단되어 있다.
[공정-110]
이어서, 실리콘 반도체 기판(50)을 도 1에 나타낸 실리콘 산화막 형성 장치의 기판 이송부(20)에 도시하지 않은 도어로부터 이송하여, 기판 수납부(24)에 얹어 놓는다(도 4 (A) 참조). 그리고, 처리실(10)에 수증기 도입부(12)로부터 질소 가스를 도입하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기로 하고( 감압 분위기라도 됨), 또한 균열관(16)을 통해 히터(14)에 의해 처리실(10) 내의 분위기 온도를 70℃로 유지한다. 또, 배관(17) 내에 냉각수를 흐르게 하여 둔다. 그리고, 이 상태에서는 셔터(15)는 닫아 둔다.
[공정-120]
그리고, 기판 이송부(20)에의 실리콘 반도체 기판(50)의 이송이 완료된 후, 도시하지 않은 도어를 닫아, 기판 이송부(20)에 가스 도입부(21)로부터 질소 가스를 도입하고, 가스 배기부(22)로부터 배출하여 기판 이송부(20) 내를 질소 가스 분위기로 한다. 그리고, 기판 이송부(20) 내의 산소 가스 농도를 모니터하여, 산소 가스 농도가 예를 들면 100ppm 이하로 되었다면, 기판 이송부(20) 내가 충분히 질소 가스 분위기로 되었다고 판단한다. 그 후, 기부(26)의 내부에 냉각수를 흐르게 하면서, 셔터(15)를 열고(도 4 (B) 참조), 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 상승시키고(상승 속도: 50mm/분), 실리콘 반도체 기판(50)을 석영제의 2중관 구조인 처리실(10) 내에 이송한다(도 5 (A) 참조). 엘리베이터 장치(23)가 최상승 위치에 다다르면, 기판 수납부(24)의 기부(26)에 의해 처리실(10)과 기판 이송부(20)와의 사이는 연통되지 않게 된다.
[공정-130]
이어서, 불활성 가스원으로부터 배관, 불활성 가스 도입부(40)를 통해, 불활성 가스인 질소 가스를 처리실(10) 내에 도입한다. 단열 부재(25)의 표면 온도가 120℃가 되도록, 불활성 가스를 가열하기 위한 가열 수단을 제어한다(도 5 (B) 참조). 질소 가스 도입량을 5 SLM으로 했다. 그리고, [공정-120]과 [공정-130]을 역의 순서로 해도 된다. 이와 같이, 실리콘 반도체 기판(50)의 처리실(10) 내에의 이송 중에 불활성 가스 도입부(40)를 통해 불활성 가스를 처리실(10) 내에 계속 도입하면, 처리실(10) 바닥부의 불활성 가스 농도가 높아져, 실리콘 반도체 기판을 처리실로 이송할 때 대기가 말려 들어감에 따라 실리콘 산화막이 생성되는 것을 한층 확실하게 방지할 수 있다.
[공정-140]
처리실(10) 내의 분위기 온도가 700℃에서 충분히 안정된 후, 배관(32, 33)을 통해 연소실(30) 내에 산소 가스(유량: 10 SLM) 및 수소 가스(유량: 10 SLM)를 공급하고, 수소 가스를 산소 가스와 연소실(30) 내에서 고온으로 혼합하여, 연소시킴으로써 생성된 수증기를 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10)에 도입하여, 가스 배기부(13)로부터 배기한다(도 2 및 도 6 (A) 참조). 이에 따라, 실리콘층 표면이 열산화된다. 즉, 실리콘 반도체 기판(50)의 표면에 실리콘 산화막이 형성된다. 그리고, 연소실(30) 내의 온도를, 예를 들면 히터(도시하지 않음)에 의해 700∼900℃로 유지한다. 실리콘 산화막의 형성 중, 불활성 가스원으로부터 배관, 불활성 가스 도입부(40)를 통해 불활성 가스인 질소 가스를 처리실(10) 내에 계속 도입한다. 그리고, 실리콘 산화막의 형성 중, 불활성 가스 도입부(40)를 통해 불활성 가스를 처리실(10) 내에 도입하지 않는 경우, 단열 부재(25)의 표면 온도는 종종 100℃ 미만이 되어, 단열 부재(25)의 표면에 결로가 생긴다.
[공정-150]
예를 들면 막 두께 약 2.5nm의 실리콘 산화막을 형성한 후, 처리실(10)에의 수증기의 공급을 정지하고, 처리실(10)에 수증기 도입부(12)로부터 질소 가스를 도입하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기(온도: 700℃)로 한다. 즉, 처리실(10) 내를 불활성 가스로 치환하여, 수증기를 처리실(10) 내에부터 제거한다. 불활성 가스원으로부터 배관, 불활성 가스 도입부(40)를 통해, 불활성 가스인 질소 가스를 처리실(10) 내에 계속 도입한다(도 6 (B) 참조). 처리실(10)에의 수증기의 공급 정지 후, 일정 시간이 경과하면 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키고, 셔터(15)를 닫아( 도 7 참조) 실리콘 반도체 기판(50)을 기판 이송부(20)로부터 이송한다. 그리고, 각종 조건에 따르는 실리콘 산화막의 형성 시험을 하여, 단열 부재(25)의 표면에 수증기가 결로되어 있지 않은지를 조사하고, 단열 부재(25)의 표면에 수증기가 항상 결로되어 있지 않으면, 처리실(10)에의 수증기의 공급 정지 후, 바로 엘리베이터 장치(23)를 작동시켜도 된다. 또, 불활성 가스원으로부터의 불활성 가스의 처리실(10) 내에의 도입 개시 시기에 따라서는, 단열 부재(25)의 표면에 결로가 생기는 경우가 있다. 이와 같은 경우에는, 각종 조건에 따르는 실리콘 산화막의 형성 시험을 하여, 단열 부재(25)의 표면에 결로에 의해 생긴 물이 확실하게 증발할 때까지의 시간을 구하고, 이러한 시간을 처리실(10)에의 수증기의 공급을 정지하고 나서 엘리베이터 장치(23)를 작동시킬 때까지의 시간으로 하면 된다.
한층 높은 특성을 가지는 실리콘 산화막의 형성을 의도하는 경우에는, 다음에 설명하는 열처리를 실리콘 산화막에 실시하는 것이 바람직하다. 즉, [공정-140]에 계속하여, 단열 부재(25)의 표면에 수증기가 결로되어 있지 않은 상태로 하고, 또는 단열 부재(25)의 표면에 결로된 물을 증발시킨다. 그리고, 처리실(10) 내를 불활성 가스로 치환하여, 수증기를 처리실(10) 내에부터 제거한 후, 염화 수소를 0.15 용량% 함유하는 질소 가스(염화 수소 가스: 15 SCCM/질소 가스: 10 SLM)를 수증기 도입부(12)로부터 처리실(10) 내에 도입하고, 분위기 온도 700℃에서 30분간 열처리를 한다. 이후, 처리실(10) 내를 질소 가스 분위기로 하여, 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키고, 이어서 기판 이송부(20)로부터 실리콘 반도체 기판(50)을 이송한다.
또는, 필요에 따라, [공정-150]에 계속하여, 실리콘 산화막이 표면에 형성된 실리콘 반도체 기판(50)을 도 8에 모식도를 나타낸 열처리 장치의 처리실(10A) 내로 이송한다. 그리고, 처리실(10A)의 분위기를 미리 700℃의 질소 가스 분위기로 하여 둔다. 실리콘 반도체 기판(50)을 처리실(10A) 내로 이송한 후, 처리실(10A)의 분위기 온도를 5℃/분의 비율로 800℃까지 승온하고, 이어서 처리실(10A)의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기(예를 들면, 염화 수소를 0.1 용량% 함유하는 800℃의 질소 가스 분위기. 여기에서 염화 수소 가스: 10 SCCM/질소 가스: 10 SLM)로 함으로써, 형성된 실리콘 산화막에 30분간의 열처리를 실시해도 된다. 그리고, 열처리 장치의 구조는 수증기 발생 장치 및 결로 방지/증발 수단이 없고, 대신 불활성 가스원 및 염화 수소 가스원이 구비되어 있는 점을 제외하고, 실질적으로 도 1에 나타낸 실리콘 산화막 형성 장치와 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 그리고, 도 8에서의 열처리 장치와 도 1에 나타낸 실리콘산화막 형성 장치에 있어서, 동일 구성 요소에는 동일 참조 번호를 붙였다.
또, 도 9에 나타낸 바와 같이, 결로 방지/증발 수단에 가스 배기부(13)로부터 배기된 가스 중의 수분량을 측정하는 수단(구체적으로는 습도 센서(41))을 추가로 구비하고, 가스 배기부(13)로부터 배기된 가스의 흐름을 분기(分岐)하여, 필요에 따라 가스 온도를 저하시킨 가스 중의 수분량을 습도 센서(41)에 의해 측정하면, 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키기 시작하는 시기를 확실하게 파악할 수 있다. 그리고, 참조 번호(42)는 가스 배기부(13)로부터 배기된 가스의 흐름을 분기시키기 위한 밸브이다.
(실시 형태 2)
실시 형태 2도, 본 발명의 실리콘 산화막 형성 장치 및 제1 양태에 관한 실리콘 산화막 형성 방법에 관한 것이다. 실시 형태 2의 실리콘 산화막 형성 장치의 개요를 도 10에 나타냈다. 실시 형태 1의 실리콘 산화막 형성 장치에 있어서는, 결로 방지/증발 수단을 불활성 가스원, 처리실(10)에 배설한 불활성 가스 도입부(40), 불활성 가스 도입부(40)와 불활성 가스원을 접속하는 배관 및 처리실(10) 내에 도입되는 불활성 가스를 가열하기 위한 가열수단으로 구성했다. 한편, 실시 형태 2에서의 실리콘 산화막 형성 장치에 있어서는, 결로 방지/증발 수단을 처리실(10)의 외부에 배설되고, 그리고 단열 부재(25)를 가열하는 보조 가열 장치, 구체적으로는 히터(43) 및 단열 부재(25)의 표면 온도를 검출하기 위해, 단열 부재(25)의 표면에 장착된 온도 검출 수단(구체적으로는 열전대) 및 보조 가열 장치를 제어하기 위한 제어 장치로 구성했다. 그리고, 열전대 및 제어 장치의 도시는 생략했다. 구체적으로는, 단열 부재(25)의 표면 온도를 열전대에 의해 측정하고, 열전대의 출력에 따라, 제어 장치(예를 들면, PID 컨트롤러)에 의해 히터(43)에 전력을 공급하기 위한 전원(도시하지 않음)을 제어하면 된다. 히터의 형식으로서는, 저항 가열 방식 또는 램프 가열 방식으로 할 수 있다.
실시 형태 2에 있어서도, 결로 방지/증발 수단에 의해, 실 부재(27)에 열화나 손상이 생기고, 또는 기판 수납 장치나 엘리베이터 장치(23)에 손상이 생기지 않는 온도로 단열 부재(25)의 표면 온도를 제어한다. 구체적으로는, 예를 들면 단열 부재(25)의 표면(외면) 온도를 100℃ 내지 150℃의 범위로 제어한다.
또는, 보조 가열 장치를 배관 및 그 속을 흐르는 열 매체로 할 수 있다. 구체적으로는, 도 21에 나타낸 종래의 실리콘 산화막 형성 장치를 개조하여, 배관(17) 내를 흐르는 열 매체의 온도 및/또는 유량을 제어함으로써, 예를 들면 단열 부재(25)의 표면(외면) 온도를 100℃ 내지 150℃의 범위로 제어한다. 구체적으로는, 배관(17) 내를 흐르는 열 매체의 온도 및 유량을 측정하고, 한편 단열 부재(25)의 표면 온도를 열전대에 의해 측정하고, 열전대의 출력에 따라 제어 장치(예를 들면, PID 컨트롤러)에 의해 배관(17) 내를 흐르는 열 매체의 온도 및/또는 유량을 제어하면 된다. 열 매체로서는 물, 실리콘 오일을 예시할 수 있다.
실시 형태 2의 실리콘 산화막 형성 장치를 사용한 실리콘 산화막 형성 방법은 실시 형태 1과 동일하게 할 수 있으므로, 상세한 설명은 생략한다.
(실시 형태 3)
실시 형태 3은 실시 형태 1의 변형이다. 실시 형태 3에 있어서는, 실리콘 반도체 기판 위에 두께 10㎛의 에피택셜 실리콘층을 공지의 선택 에피택셜 성장법으로 성막했다. 그리고, 이러한 에피택셜 실리콘층에 대하여, 실시 형태 1의 [공정-110]∼[공정-150]과 동일한 공정에 따라 실리콘 산화막을 형성했다. 그리고, 실리콘 산화막의 총두께를 2.5nm으로 했다.
(실시 형태 4)
실시 형태 4는 실시 형태 1의 변형이다. 실시 형태 4에 있어서도, 도 1에 나타낸 실리콘 산화막 형성 장치를 사용하지만, 실리콘 산화막의 형성을, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서, 실리콘층 표면의 열산화를 개시한 후, 소정 기간 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위로 분위기를 유지하여 열산화를 하는 제1 실리콘 산화막 형성공정과, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위보다도 높은 분위기 온도에서, 원하는 두께의 실리콘 산화막이 얻어질 때까지 실리콘층 표면을 다시 열산화하는 제2 실리콘 산화막 형성 공정으로 구성했다.
다음에, 도 1에 나타낸 실리콘 산화막 형성 장치를 사용한 실시 형태 4의 실리콘 산화막 형성 방법을 실리콘 산화막 형성 장치 등의 개념도인 도 11∼도 14를 참조하여 설명한다.
[공정-400]
먼저, 실리콘 반도체 기판에 실시 형태 1과 동일한 방법으로, 소자 분리 영역 등을 형성한 후, RCA 세정에 의해 실리콘 반도체 기판 표면의 미립자나 금속 불순물을 제거하고, 이어서, 0.1% 불화 수소산 수용액에 의해 실리콘 반도체 기판의표면 세정을 하여, 실리콘 반도체 기판의 표면을 노출시킨다.
[공정-410]
다음에, 실리콘 반도체 기판을 도 1에 나타낸 실리콘 산화막 형성 장치의 기판 이송부(20)에 도시하지 않은 도어로부터 이송하여, 기판 수납부(24)에 얹어 놓는다(도 11 (A) 참조). 한편, 처리실(10)에 수증기 도입부(12)로부터 질소 가스를 도입하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기로 했다(감압 분위기라도 됨). 그리고, 실시 형태 4에 있어서는, 처리실(10) 내의 분위기 온도를 히터(14)에 의해 400℃로 유지한다. 또, 배관(17) 내에 냉각수를 흐르게 하여 둔다. 그리고, 이 상태에서는 셔터(15)는 닫아 둔다.
[공정-420]
그리고, 기판 이송부(20)에의 실리콘 반도체 기판(50)의 이송이 완료된 후, 도시하지 않은 도어를 닫아, 기판 이송부(20)에 가스 도입부(21)로부터 질소 가스를 도입하고, 가스 배기부(22)로부터 배출하여 기판 이송부(20) 내를 질소 가스 분위기로 한다. 그리고, 기판 이송부(20) 내의 산소 가스 농도를 모니터하여, 산소 가스 농도가 예를 들면 100ppm 이하로 되었다면, 기판 이송부(20) 내가 충분히 질소 가스 분위기로 되었다고 판단한다. 그 후, 기부(26)의 내부에 냉각수를 흐르게 하면서, 셔터(15)를 열고(도 11 (B) 참조), 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 상승시키고(상승 속도: 250mm/분), 실리콘 반도체 기판(50)을 석영제의 2중관 구조인 처리실(10) 내에 이송한다(도 12 (A) 참조). 엘리베이터 장치(23)가 최상승 위치에 다다르면, 기판 수납부(24)의 기부(26)에 의해 처리실(10)과 기판 이송부(20)와의 사이는 연통되지 않게 된다. 처리실(10) 내의 분위기 온도는 히터(14)에 의해 400℃로 유지되고 있으므로, 즉 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도로 처리실(10) 내가 유지되고 있으므로, 실리콘 반도체 기판(50) 표면에 거칠함이 발생하는 것을 억제할 수 있다.
[공정-430]
이어서, 불활성 가스원으로부터 배관, 불활성 가스 도입부(40)를 통해, 불활성 가스인 질소 가스를 처리실(10) 내에 도입한다. 단열 부재(25)의 표면 온도가 120℃가 되도록, 불활성 가스를 가열하기 위한 가열 수단을 제어한다(도 12 (B) 참조). 질소 가스 도입량을 5 SLM으로 했다. 그리고, [공정-420]과 [공정-430]을 역의 순서로 해도 된다.
[공정-440]
이어서, 시리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서, 처리실(10)에 수증기 도입부(12)로부터 수증기를 도입하여 실리콘층 표면의 열산화를 개시한다. 그리고, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도에서 수증기를 사용한 열산화법에 의해 실리콘층의 표면에 실리콘 산화막의 형성을 개시한 후, 소정 기간 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위로 분위기를 유지하여 실리콘 산화막을 형성하는 제1 실리콘 산화막 형성 공정을 실행한다. 구체적으로는, 실리콘층(실시 형태 4에 있어서는 실리콘 반도체 기판(50))의 표면으로부터 실리콘 원자가 탈리되지 않는 온도로 분위기 온도를 유지한 상태에서(실시 형태 4에 있어서는 구체적으로는, 분위기온도를 400℃로 설정), 수증기를 사용한 열산화법에 의해 실리콘층의 표면에 실리콘 산화막을 형성한다. 실시 형태 4에 있어서는, 배관(32, 33)을 통해 연소실(30) 내에 산소 가스 및 수소 가스를 공급하고, 연소실(30) 내에서 생성된 수증기를 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10) 내에 도입하여, 파이로제닉 산화법에 의해 실리콘 반도체 기판(50)의 표면에 두께 1.2nm의 실리콘 산화막을 형성한다(도 13 (A) 참조). 이 실리콘 산화막의 두께는 SiO2의 여러 분자층에 상당하는 두께이고, 실리콘 반도체 기판 표면의 스텝을 고려해도 보호막으로서 기능하는 데 충분한 두께이다. 불활성 가스 도입부(40)를 통해 불활성 가스를 처리실(10) 내에 계속 도입하므로, 단열 부재(25)의 표면에 결로가 생기는 것을 확실하게 방지할 수 있다.
[공정-450]
그 후, 처리실(10) 내에의 수증기의 도입을 중지하고, 불활성 가스(질소 가스)를 배관(32), 연소실(30), 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10) 내에 도입하면서, 실리콘 산화막 형성 장치의 처리실(10) 내의 분위기 온도를, 균열관(16)을 통해 히터(14)에 의해 800℃까지 승온한다(도 13 (B) 참조). 그리고, 승온 속도를 10℃/분으로 한다. [공정-440]에서 실리콘층의 표면에는 보호막으로서도 기능하는 실리콘 산화막이 이미 형성되어 있으므로, 이 [공정-450]에서, 실리콘층(실리콘 반도체 기판(50))의 표면에 거칠함이 발생하는 일은 없다. 그리고, 불활성 가스 도입부(40)를 통한 불활성 가스의 처리실(10) 내에의 도입은계속된다.
[공정-460]
실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위보다도 높은 분위기 온도(실시 형태 4에 있어서는 800℃)로 처리실(10) 내의 분위기 온도가 달한 후, 이 온도로 분위기를 유지한 상태에서, 수증기를 사용한 열산화법에 의해, 다시 실리콘 산화막을 형성하는 제2 실리콘 산화막 형성 공정을 실행한다. 구체적으로는, 재차 배관(32, 33)을 통해 연소실(30) 내에 산소 가스 및 수소 가스를 공급하고, 연소실(30) 내에서 생성된 수증기를 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10) 내에 도입하여, 파이로제닉 산화법에 의해 실리콘 반도체 기판(50)의 표면에 총두께 4.0nm의 실리콘 산화막(42)을 형성한다(도 14 (A) 참조). 그리고, 원하는 두께의 실리콘 산화막의 형성이 완료되었을 때의 분위기 온도(실시 형태 4에 있어서는 800℃)는 실리콘층의 표면에 실리콘 산화막의 형성을 개시할 때의 분위기 온도(실시 형태 4에 있어서는 400℃)보다도 높다. 불활성 가스 도입부(40)를 통해 불활성 가스를 처리실(10) 내에 계속 도입하므로, 단열 부재(25)의 표면에 결로가 생기는 것을 확실하게 방지할 수 있다.
[공정-470]
그 후, 처리실(10)에의 수증기의 공급을 정지하고, 처리실(10)에 수증기 도입부(12)로부터 질소 가스를 도입하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기(온도: 700℃)로 한다. 즉, 처리실(10) 내를 불활성 가스로 치환하여, 수증기를 처리실(10) 내에부터 제거한다. 불활성 가스원으로부터 배관, 불활성 가스도입부(40)를 통해, 불활성 가스인 질소 가스를 처리실(10) 내에 계속하여 도입한다(도 14 (B) 참조). 처리실(10)에의 수증기의 공급 정지 후, 일정 시간이 경과했다면, 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키고, 셔터(15)를 닫아 실리콘 반도체 기판(50)을 기판 이송부(20)로부터 이송한다.
한층 높은 특성을 가지는 실리콘 산화막의 형성을 의도하는 경우에는, 다음에 설명하는 열처리를 실리콘 산화막에 실시하는 것이 바람직하다. 즉, [공정-460]에 계속하여, 단열 부재(25)의 표면에 수증기가 결로되어 있지 않은 상태로 하고, 또는 단열 부재(25)의 표면에 결로된 물을 증발시킨다. 그리고, 처리실(10) 내를 불활성 가스로 치환하여, 수증기를 처리실(10) 내에부터 제거한 후, 염화 수소를 0.15 용량% 함유하는 질소 가스(염화 수소 가스: 15 SCCM/질소 가스: 10 SLM)를 수증기 도입부(12)로부터 처리실(10) 내에 도입하고, 분위기 온도 750℃에서 30분간 열처리를 한다. 이후, 처리실(10) 내를 질소 가스 분위기로 하여, 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키고, 이어서 기판 이송부(20)로부터 실리콘 반도체 기판(50)을 이송한다. 또는, [공정-470]에 계속하여, 실리콘 산화막이 표면에 형성된 실리콘 반도체 기판(50)을 도 8에 모식도를 나타낸 열처리 장치로 이송한다. 그리고, 처리실(10A)의 분위기를 미리 700℃의 질소 가스 분위기로 하여 둔다. 그 후, 처리실(10A)의 분위기 온도를 5℃/분의 비율로 800℃까지 승온한 후, 처리실(10A)의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기(예를 들면, 염화 수소를 0.1 용량% 함유하는 800℃의 질소 가스 분위기. 여기에서 염화 수소 가스: 10 SCCM/질소 가스: 10 SLM)로 함으로써, 형성된 실리콘 산화막에30분간의 열처리를 실시해도 된다.
(실시 형태 5)
실시 형태 5는 본 발명의 제2 양태에 관한 실리콘 산화막 형성 방법에 관한 것이다. 실시 형태 5의 실리콘 산화막 형성 방법의 실행에 적합한 실리콘 산화막 형성 장치의 모식도를 도 15에 나타냈다. 이 실리콘 산화막 형성 장치는, 예를 들면 도 21에 나타낸 종래의 실리콘 산화막 형성 장치를 개조한 것이고, 가스 배기부(13)로부터 배기된 가스 중의 수분량을 측정하는 수단(구체적으로는, 습도 센서(41))을 구비하고 있으며, 가스 배기부(13)로부터 배기된 가스의 흐름을 분기하여, 필요에 따라 습도를 저하시킨 가스 중의 수분량을 습도 센서(41)에 의해 측정한다. 그리고, 참조 번호 (42)는 가스 배기부(13)로부터 배기된 가스의 흐름을 분기시키기 위한 밸브이다. 이와 같은 구성으로 함으로써, 처리실(10) 내의 수증기 및 결로된 물이 처리실(10)에부터 제거되었는지를 확실하게 판단할 수 있다.
다음에, 도 15에 나타낸 종래의 실리콘 산화막 형성 장치를 사용한 실시 형태 5의 실리콘 산화막 형성 방법을, 실리콘 산화막 형성 장치 등의 개념도인 도 16∼도 18을 참조하여 설명하지만, 실시 형태 5에 있어서는, 실리콘층 표면을 열산화할 때의 처리실(10)의 분위기 온도와, 처리실(10) 내의 수증기 및 결로된 물을 처리실로부터 제거할 때의 처리실(10)의 분위기 온도를 거의 동일하게 했다.
[공정-500]
먼저, 실리콘 반도체 기판에 실시 형태 1과 동일한 방법으로 소자 분리 영역 등을 형성한 후, RCA 세정에 의해 실리콘 반도체 기판 표면의 미립자나 금속 불순물을 제거하고, 이어서, 0.1% 불화 수소산 수용액에 의해 실리콘 반도체 기판의 표면 세정을 하여, 실리콘 반도체 기판의 표면을 노출시킨다.
[공정-510]
다음에, 실리콘 반도체 기판(50)을 도 15에 나타낸 실리콘 산화막 형성 장치의 기판 이송부(20)에 도시하지 않은 도어로부터 이송하여, 기판 수납부(24)에 얹어 놓는다(도 16 (A) 참조). 그리고, 처리실(10)에 수증기 도입부(12)로부터 질소 가스를 도입하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기로 하고(감압 분위기라도 됨), 또한 균열관(16)을 통해 히터(14)에 의해 처리실(10) 내의 분위기 온도를 700℃로 유지한다. 또, 배관(17) 내에 냉각수를 흐르게 하여 둔다. 그리고, 이 상태에서는 셔터(15)는 닫아 둔다.
[공정-520]
그리고, 기판 이송부(20)에의 실리콘 반도체 기판(50)의 이송이 완료된 후, 도시하지 않은 도어를 닫아, 기판 이송부(20)에 가스 도입부(21)로부터 질소 가스를 도입하고, 가스 배기부(22)로부터 배출하여 기판 이송부(20) 내를 질소 가스 분위기로 한다. 그리고, 기판 이송부(20) 내의 산소 가스 농도를 모니터하여, 산소 가스 농도가 예를 들면 100ppm 이하로 되었다면, 기판 이송부(20) 내가 충분히 질소 가스 분위기로 되었다고 판단한다. 그 후, 기부(26)의 내부에 냉각수를 흐르게 하면서, 셔터(15)를 열고(도 11 (B) 참조), 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 상승시키고(상승 속도: 50mm/분), 실리콘 반도체 기판(50)을 석영제의 2중관 구조인 처리실(10) 내에 이송한다(도 17 (A) 참조). 엘리베이터 장치(23)가 최상승 위치에 다다르면, 기판 수납부(24)의 기부(26)에 의해 처리실(10)과 기판 이송부(20)와의 사이는 연통되지 않게 된다.
[공정-530]
처리실(10) 내의 분위기 온도가 700℃에서 충분히 안정된 후, 배관(32, 33)을 통해 연소실(30) 내에 산소 가스(유량: 10 SLM) 및 수소 가스(유량: 10 SLM)를 공급하고, 수소 가스를 산소 가스와 연소실(30) 내에서 고온으로 혼합하여, 연소시킴으로써 생성된 수증기를 배관(31), 가스 유로(11) 및 수증기 도입부(12)를 통해 처리실(10)에 도입하여, 가스 배기부(13)로부터 배기한다(도 17 (B) 참조). 이에 따라, 실리콘층 표면이 열산화된다. 즉, 실리콘 반도체 기판(50)의 표면에 실리콘 산화막이 형성된다. 그리고, 연소실(30) 내의 온도를, 예를 들면 히터(도시하지 않음)에 의해 700∼900℃로 유지한다. 그리고, 실리콘 산화막의 형성 중, 단열 부재(25)의 표면 온도는 종종 100℃ 미만이 되어, 단열 부재(25)의 표면에 결로가 생긴다.
[공정-540]
예를 들면 막 두께 약 2.5nm의 실리콘 산화막을 형성한 후, 처리실(10)에의 수증기의 공급을 정지하고, 처리실(10)에 수증기 도입부(12)로부터 질소 가스(유량:10 SLM)를 도입하여, 처리실(10) 내를 질소 가스 등의 불활성 가스 분위기(온도: 700℃)로 치환한다(도 18 (A) 참조). 처리실(10)에의 수증기의 공급 정지 후, 밸브(42)를 열어, 가스 배기부(13)로부터 배기된 가스 중의 수분량을 습도 센서(41)에 의해 측정한다. 가스 중의 수분량이, 예를 들면 건조 불활성 가스 1kg당 0.02kg 이하로 되었다면, 처리실(10) 내의 수증기 및 결로된 물(보다 구체적으로는, 처리실(10) 내의 수증기 및 단열 부재(25)의 표면에서 결로된 물)이 처리실(10)에부터 제거되었다고 판단할 수 있다. 실시 형태 5에서는, 처리실(10)에 수증기 도입부(12)로부터 질소 가스를 15분간 계속 도입했다.
[공정-550]
그 후, 기판인 실리콘 반도체 기판(50)을 처리실(10)에부터 이송한다. 즉 엘리베이터 장치(23)를 작동시켜 기판 수납부(24)를 하강시키고, 셔터(15)를 닫아(도 18 (B) 참조), 실리콘 반도체 기판(50)을 기판 이송부(20)로부터 이송한다.
그리고, 직경 8인치의 실리콘 웨이퍼를 열산화하는 실리콘 산화막 형성 장치에 있어서는, 통상 10∼15 SLM의 질소 가스를 1분간 정도 처리실(10)에 수증기 도입부(12)로부터 도입하면, 처리실(10) 내는 질소 가스에 의해 치환된다. 그러나, 이 상태에서, 실리콘 반도체 기판(50)을 처리실(10)에부터 이송했을 때, 단열 부재(25)의 표면에 결로가 생기고 있었다. 10∼15 SLM의 질소 가스를 3분간 정도 처리실(10)에 수증기 도입부(12)로부터 도입한 후, 실리콘 반도체 기판(50)을 처리실(10)에부터 이송했을 때에도, 마찬가지로 단열 부재(25)의 표면에 결로가 생기고 있었다.
한층 높은 특성을 가지는 실리콘 산화막의 형성을 의도하는 경우에는, 다음에 설명하는 열처리를 실리콘 산화막에 실시하는 것이 바람직하다. 즉, [공정-540]에 계속하여, 처리실(10) 내의 분위기 온도를 700℃로 유지한 상태에서, 염화 수소를 0.15 용량% 함유하는 질소 가스(염화 수소 가스: 15 SCCM/질소 가스: 10 SLM)를수증기 도입부(12)로부터 처리실(10) 내에 도입하여, 30분간 열처리를 한다. 이후, 처리실(10) 내를 질소 가스 분위기로 하고, 엘리베이터 장치(23)를 동작시켜 기판 수납부(24)를 하강시키고, 이어서 기판 이송부(20)로부터 실리콘 반도체 기판(50)을 이송한다.
또는, 필요에 따라, [공정-550]에 계속하여, 실리콘 산화막이 표면에 형성된 실리콘 반도체 기판(50)을 도 8에 모식도를 나타낸 열처리 장치로 이송한다. 그리고, 처리실(10A)의 분위기를 미리 700℃의 질소 가스 분위기로 하여 둔다. 실리콘 반도체 기판(50)의 처리실(10A) 내에의 이송 완료 후, 처리실(10A)의 분위기 온도를 5℃/분의 비율로 800℃까지 승온한 후, 처리실(10A)의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기(예를 들면, 염화 수소를 0.1 용량% 함유하는 800℃의 질소 가스 분위기. 여기에서 염화 수소 가스: 10 SCCM/질소 가스: 10 SLM)로 함으로써, 형성된 실리콘 산화막에 30분간의 열처리를 실시해도 된다.
그리고, 실시 형태 5에서 설명한 실리콘 산화막 형성 방법에 대하여, 실시 형태 4에서 설명한 실리콘 산화막 형성 방법, 즉 실리콘 산화막의 형성을, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도로, 실리콘층 표면의 열산화를 개시한 후, 소정 기간, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위로 분위기를 유지하여 열산화를 하는 제1 실리콘 산화막 형성 공정과, 실리콘층의 표면으로부터 실리콘 원자가 탈리되지 않는 분위기 온도 범위보다도 높은 분위기 온도로 원하는 두께의 실리콘 산화막이 얻어질 때까지 실리콘층 표면을 다시 열산화하는 제2 실리콘 산화막 형성 공정으로 구성해도 된다. 이경우에는, 제2 실리콘 산화막 형성 공정의 완료 후, 처리실(10) 내를 불활성 가스 분위기로 치환하여, 처리실(10) 내의 수증기 및 결로된 물을 처리실(10)에부터 제거한 후, 기판을 처리실로부터 이송한다. 또는, 제1 처리실 내에서 제1 실리콘 산화막 형성 공정을 실행한 후, 제1 처리실 내의 수증기 및 결로된 물을 제1 처리실로부터 제거하고, 이어서, 기판을 제1 처리실로부터 이송하고, 제2 처리실 내로 기판을 이송하여, 제2 실리콘 산화막 성형 공정을 제2 처리실 내에서 실행하고, 이러한 제2 처리실 내의 수증기 및 결로된 물을 제2 처리실로부터 제거한 후, 제2 처리실로부터 기판을 이송해도 된다. 또, 이들 경우에도, 실리콘 산화막의 형성 후, 열처리를 해도 된다. 즉, 처리실(10) 내의 수증기 및 결로된 물을 처리실로부터 제거한 후, 처리실(10)을 할로겐 원소를 함유하는 불활성 가스 분위기(예를 들면, 염화 수소를 0.15 용량% 함유하는 700℃의 질소 가스 분위기. 여기에서, 염화 수소 가스: 15 SCCM/질소 가스: 10 SLM)로 함으로써, 형성된 실리콘 산화막에 열처리를, 예를 들면 30분간 실시한 후, 엘리베이터 장치(23)를 동작시켜 기판 수납부(24)를 하강시키고, 이어서 기판 이송부(20)로부터 실리콘 반도체 기판(50)을 이송해도 된다. 또는, 처리실(10) 내에서 실리콘층 표면을 열산화한 후, 기판인 실리콘 반도체 기판(50)을 처리실(10)에부터 이송하고, 이어서 기판을 도 8에 나타낸 열처리 장치 내로 이송한다. 그리고, 처리실(10A)의 분위기를 미리 700℃의 질소 가스 분
위기로 해 둔다. 반도체 기판(50)의 처리실(10A) 내에의 이송 완료 후, 처리실(10A)의 분위기 온도를 5℃/분의 비율로 800℃까지 승온한 후, 처리실(10A)의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기(예를 들면, 염화 수소를 0.1용량% 함유하는 800℃의 질소 가스 분위기. 여기에서, 염화 수소 가스: 10 SCCM/질소 가스: 10 SLM)로 함으로써, 형성된 실리콘 산화막에 30분간의 열처리를 실시해도 된다.
이상, 본 발명을 발명의 실시 형태에 따라 설명했지만, 본 발명은 이들에 한정되는 것이 아니다. 발명의 실시 형태에서 설명한 각종 조건이나 실리콘 산화막 형성 장치의 구조는 예시이고, 적절히 변경할 수 있다. 실리콘 산화막의 성막은 파이로제닉 산화법뿐만 아니라, ① 순수의 가열에 의해 발생한 수증기에 의한 산화법, ② 산소 가스 또는 불활성 가스에 의해 가열 순수를 버블링함으로써 발생한 수증기에 의한 산화법, ③ 촉매 작용에 따라, 수소 가스와 산소 가스의 반응에 의해 발생한 수증기에 의한 산화법, ④ 산소 플라즈마와 수소 플라즈마의 반응에 의해 발생한 수증기에 의한 산화법, 또는 이들 산화법을 병용한 방법으로 할 수 있다. 경우에 따라서는, 건조 산소와 염화 수소의 혼합 가스, 또는 건조 산소와 디클로로에틸렌의 혼합 가스를 사용하여 실리콘 층의 표면을 열산화해도 된다.
그리고, 촉매 작용에 따라, 수소 가스와 산소 가스의 반응에 의해 수증기를 발생시키는 경우, 사용하는 촉매로서, 예를 들면 NiO 등의 Ni계 촉매, Pt나 PtO2등의 Pt계 촉매, Pd나 PdO 등의 Pd계 촉매, Ir계 촉매, Ru나 RuO2등의 Ru계 촉매, Ag나 Ag2O 등의 Ag계 촉매, Au계 촉매, CuO 등의 Cu계 촉매, MnO2등의 Mn계 촉매, Co3O4등의 Co계 촉매를 들 수 있다. 촉매를 수증기 발생 장치의 내부에 배치하여, 수증기 발생 장치의 내부에 배설된 히터에 의해 원하는 온도로 가열하고, 수증기발생 장치에는 배관으로부터 수소 가스 및 산소 가스(필요에 따라 불활성 가스)를 공급하면, 수소 가스와 산소 가스의 반응에 의해 수증기를 발생시킬 수 있다.
산소 플라즈마와 수소 플라즈마의 반응에 의해 발생한 수증기에 의한 산화법에 있어서는, 마이크로파 방전에 의해 생성된 산소 플라즈마가 기저(基底) 상태 O2(X3Σg-)는 전자의 충돌에 의해 여기(勵起) 상태 O2(A3Σu+) 또는 O2(B3Σu-)로 여기되어, 각각 다음의 화학식과 같이 산소 원자로 해리(解離)된다.
O2(X3Σg-) + e → O2(A3Σu+) + e (1)
O2(A3Σu+) + e → O(3P) + O(3P) + e (2)
O2(X3Σg-) + e → O2(B3Σu-) + e (3)
O2(B3Σu-) + e → O(3P) + O(1D) + e (4)
따라서, 산소 플라즈마 중에는 여기 산소 분자와 산소 원자가 존재하고, 이들이 반응종(反應種)으로 된다. 여기에 수소 H2를 도입하면, 다음과 같은 플라즈마가 생성된다.
H2+ e → 2H
그리고, 산소 플라즈마 중, 예를 들면 화학식 1-(2)에서 생성된 산소 플라즈마와 화학식 2에서 생성된 수소 플라즈마가 반응하여, 수증기가 발생한다. 그리고, 가열된 실리콘층의 표면은 이러한 수증기에 의해 열산화되어, 실리콘층의 표면에 산화막이 형성된다.
2H + O(3P) → H2O
산소 플라즈마와 수소 플라즈마의 반응에 의해 발생한 수증기에 의한 산화법에 따르는 실리콘 산화막 형성 장치의 개념도를 도 19에 나타냈다. 이 장치는 처리실(60)과 수증기 발생 장치(70)로 구성되어 있다. 수증기 발생 장치(70)는 석영제의 수증기 발생실(71), 마이크로파 도파관(導波管)(72) 및 마이크로파 도파관(72)에 장착된 마그네트론(73)으로 구성되어 있다. 마그네트론(73)에서는, 주파수 2.45 GHz의 마이크로파가 생성된다. 이러한 마이크로파는 마이크로파 도파관(72)을 통해 수증기 발생실(71)에 도입된다. 수증기 발생실(71)에는 배관(74, 75)을 경유하여 수소 가스 및 산소 가스가 도입된다. 수증기 발생실(71)에 도입된 수소 가스 및 산소 가스에 대하여 마이크로파(전자파)가 조사된다. 이에 따라, 화학식 1-(1)∼화학식 1-(4) 및 화학식 2에 나타낸 반응이 진행되어, 산소 플라즈마 및 수소 플라즈마가 생성되고, 화학식 3에 나타낸 반응 결과, 수증기가 발생한다. 수증기 발생실(71)의 외측에는 히터(77)가 배설되어, 수증기 발생실(71)의 내부는 원하는 온도(예를 들면, 200∼300℃)로 유지된다. 수증기 발생실(71)에서 발생한 수증기는배관(78)으로부터 처리실(60) 내에 도입된다. 그리고, 배관(78)의 외측에는 배관(78) 내에서의 수증기의 결로를 방지하기 위해 히터(79)를 배설하여, 예를 들면 배관(78) 내를 200∼300℃로 유지하는 것이 바람직하다. 또, 불활성 가스(예를 들면 질소 가스)를 수증기 발생실(71)에 도입하기 위한 배관(76)이 수증기 발생실(71)에 배설되어 있다. 일부의 구성 요소만을 도시하는 처리실(60)은 히터(14) 등, 도 1, 도 9, 도 10 또는 도 15에 나타낸 실리콘 산화막 형성 장치와 동일한 구조로 할 수 있어, 처리실(60) 내에서 실리콘 반도체 기판(50)의 표면에 실리콘 산화막을 형성할 수 있다.
또, 예를 들면, 실시 형태 4의 [공정-450]에 있어서, 불활성 가스(예를 들면 질소 가스)를 수증기 도입부(12)로부터 처리실(10) 내에 도입하면서, 실리콘 산화막 형성 장치의 처리실(10) 내의 분위기 온도를 히터(14)에 의해 제2 실리콘 산화막 형성 공정을 실행하기 위한 분위기 온도까지 승온했지만, 그 대신에, 예를 들면 염화 수소 가스를 0.1 용량% 함유하는 불활성 가스(예를 들면 질소 가스)를 수증기 도입부(12)로부터 처리실(10) 내에 도입하면서, 실리콘 산화막 형성 장치의 처리실(10) 내의 분위기 온도를 히터(14)에 의해 제2 실리콘 산화막 형성 공정을 실행하기 위한 분위기 온도까지 승온해도 된다. 또, 열처리를 실시하는 경우, 불활성 가스(예를 들면 질소 가스)를 수증기 도입부(12)로부터 처리실(10) 내에 도입하면서 처리실(10)의 분위기 온도를 히터(14)에 의해 열처리를 실시하기 위한 분위기 온도까지 승온했지만, 그 대신에, 예를 들면 염화 수소 가스를 0.1 용량% 함유하는 불활성 가스(예를 들면 질소 가스)를 수증기 도입부(12)로부터 처리실(10) 내에 도입하면서, 처리실(10)의 분위기 온도를 히터(14)에 의해 열처리를 실시하기 위한 분위기 온도까지 승온해도 된다.
본 발명의 실시 형태에 있어서는, 오로지 실리콘 반도체 기판의 표면에 실리콘 산화막을 형성하고, 또는 기판 위에 형성된 에피택셜 실리콘층에 실리콘 산화막을 형성했지만, 반도체 장치의 제조 공정에 있어서, 기판 위에 형성된 절연층 위에 성막된 다결정 실리콘층 또는 비정질 실리콘층 등의 표면에 실리콘 산화막을 형성할 수도 있다. 또는, SOI 구조에서의 실리콘층 표면에 실리콘 산화막을 형성해도 되고, 반도체 소자나 반도체 소자의 구성 요소가 형성된 기판이나 이들 위에 성막된 실리콘층 표면에 실리콘 산화막을 형성해도 된다. 그리고, 본 발명에서의 실리콘층이라고 하는 개념에는 실리콘과 게르마늄의 혼정층(混晶層)이 포함된다.
또는, 실시 형태에 있어서, 0.1% 불화 수소산 수용액에 의해 실리콘 반도체 기판(50)의 표면을 세정한 후, 실리콘 반도체 기판(50)을 실리콘 산화막 형성 장치에 이송했지만, 실리콘 반도체 기판(50)의 표면 세정으로부터 실리콘 산화막 형성 장치에의 이송까지의 분위기를 불활성 가스(예를 들면 질소 가스) 분위기로 해도 된다. 그리고, 이와 같은 분위기는, 예를 들면 실리콘 반도체 기판의 표면 세정 장치의 분위기를 불활성 가스 분위기로 하고, 또한 불활성 가스가 충전된 반송용 박스 내에 실리콘 반도체 기판(50)을 수납하여 실리콘 산화막 형성 장치의 기판 이송부(20)에 이송하는 방법이나, 도 20에 모식도를 나타낸 바와 같이, 표면 세정 장치, 실리콘 산화막 형성 장치, 반송로, 로더(loader) 및 언로더로 구성된 클러스터 툴(cluster tool) 장치를 사용하여, 실리콘 반도체 기판의 표면 세정 장치로부터 실리콘 산화막 형성 장치의 기판 이송부(20)까지를 반송로로 연결하고, 이러한 표면 세정 장치 및 반송로의 분위기를 불활성 가스 분위기로 하는 방법에 의해 달성할 수 있다.
또는, 0.1% 불화 수소산 수용액에 의해 실리콘 반도체 기판(50)의 표면을 세정하는 대신에, 표 1에 예시한 조건으로 무수(無水) 불화 수소 가스를 사용한 기상(氣相) 세정법에 의해 실리콘 반도체 기판(50)의 표면 세정을 해도 된다. 그리고, 파티클(particle)의 발생을 방지하기 위해 메탄올을 첨가한다. 또는, 표 2에 예시한 조건으로 염화 수소 가스를 사용한 기상 세정법에 의해 실리콘 반도체 기판(50)의 표면을 세정해도 된다. 그리고, 실리콘 반도체 기판(50)의 표면 세정 개시 전 또는 표면 세정 완료 후에 있어서의 표면 세정 장치 내의 분위기나 반송로 등 내의 분위기는 불활성 가스 분위기로 해도 되어, 예를 들면 1.3×10-1Pa(10-3Torr) 정도의 진공 분위기로 해도 된다. 그리고, 반송로 등 내의 분위기를 진공 분위기로 하는 경우에는, 실리콘 반도체 기판을 이송할 때의 실리콘 산화막 형성 장치의 기판 이송부(20)의 분위기를, 예를 들면 1.3×10-1Pa(10-3Torr) 정도의 진공 분위기로 해 두고, 실리콘 반도체 기판의 이송 완료 후, 기판 이송부(20)의 분위기를 대기압의 불활성 가스(예를 들면 질소 가스) 분위기로 하면 된다. 이에 따라, 실리콘 산화막의 형성 전에 수소나 불소로 종단된 실리콘층의 표면을 오염 등이 없는 상태로 유지할 수 있는 결과, 형성된 실리콘 산화막 중에 수분이나 유기물, 또는 Si-OH가 수납되어, 형성된 실리콘 산화막의 특성이 저하되고, 또는 결함 부분이 발생하는 것을 효과적으로 방지할 수 있다.
무수불화 수소 가스 300sccm
메탄올 증기 80sccm
질소 가스 1000sccm
압력 0.3Pa
온도 60℃
염화 수소 가스/질소 가스 1 용량%
온도 800℃
본 발명에 있어서는, 실리콘 산화막 형성 장치를 구성하는 금속 부재가 수분에 의해 부식되지 않고, 또 실리콘 반도체 기판의 표면에 워터 마크와 유사한 얼룩이 생기는 결과, 실리콘 산화막의 막 두께의 면 내 불균일화를 일으킨다고 하는 문제가 발생하는 것을 확실하게 방지할 수 있다. 따라서, 종래보다도 저온으로 수증기를 사용한 열산화가 가능하게 되어, 예를 들면 1∼2nm라고 하는 극히 얇은 실리콘 산화막을 균일성 양호하고 또한 재현성 양호하게, 나아가 면 내 불균일이나 면 사이의 불균일이 적은 상태로 형성하는 것이 가능하게 된다. 특히, 처리실 내에서 실리콘 산화막의 형성에 계속하여, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시하는 경우에도, 처리실 내에서, 예를 들면 염산이 생성된다고 하는 현상의 발생을 확실하게 방지하는 것이 가능하게 된다.

Claims (31)

  1. 실리콘 산화막 형성 처리에 있어서,
    처리실을 구비하고, 이 처리실에 수증기를 도입하여 실리콘층 표면을 열산화(熱酸化)하며,
    처리실 내에서 수증기가 결로되는 것을 방지하고/방지하거나 처리실 내에서 결로된 물을 증발시키는 결로 방지/증발 수단을 추가로 구비하 것을 특징으로 하는 실리콘 산화막 형성 장치.
  2. 제1항에 있어서,
    (a) 수증기 발생 장치,
    (b) 상기 처리실에 수증기를 도입하기 위해 처리실의 상부에 배설된 수증기 도입부,
    (c) 상기 처리실의 하부에 배설되며, 처리실 내의 가스를 배기하기 위한 가스 배기부,
    (d) 상기 처리실의 바닥에 배설되며, 처리실과 연통(連通)되는 기판 이송부,
    (e) 실리콘층을 가지는 기판을 복수 수납하는 기판 수납부 및 상기 기판 수납부의 바닥에 배설된 단열 부재로 구성된 기판 수납 장치,
    (f) 상기 기판 수납 장치를 기판 이송부로부터 처리실로 이송하도록 상하 방향으로 승강시키는 엘리베이터 장치 및,
    (g) 처리실의 외부에 배설되며, 실리콘층을 가열하는 가열 장치
    를 추가로 구비하며,
    상기 결로 방지/증발 수단은 단열 부재의 표면에서의 수증기의 결로를 방지하며, 또한 단열 부재 표면에 결로된 물을 증발시키는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  3. 제2항에 있어서,
    상기 결로 방지/증발 수단이 단열 부재의 표면 온도를 100℃ 내지 150℃의 범위로 제어하는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  4. 제2항에 있어서,
    상기 결로 방지/증발 수단이 불활성 가스원, 처리실에 배설된 불활성 가스 도입부, 불활성 가스 도입부와 불활성 가스원을 접속하는 배관, 및 처리실 내에 도입되는 불활성 가스를 가열하기 위한 가열 수단을 포함하는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  5. 제4항에 있어서,
    상기 처리실에 도입된 불활성 가스의 흐름이 단열 부재에 충돌하도록 상기 불활성 가스 도입부가 처리실 내에 배치되는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  6. 제4항에 있어서,
    상기 결로 방지/증발 수단이 가스 배기부로부터 배기된 가스 중의 수분량을 측정하는 수단을 추가로 구비하는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  7. 제2항에 있어서,
    상기 결로 방지/증발 수단이 처리실의 외부에 배설되며, 단열 부재를 가열하는 보조 가열 장치를 포함하는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  8. 제7항에 있어서,
    상기 결로 방지/증발 수단이 또한 단열 부재 표면의 온도를 검출하는 온도 검출 수단 및 보조 가열 장치를 제어하기 위한 제어 장치를 포함하는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  9. 제8항에 있어서,
    상기 보조 가열 장치가 히터인 것을 특징으로 하는 실리콘 산화막 형성 장치.
  10. 제8항에 있어서,
    상기 보조 가열 장치가 배관 및 그 배관 속을 흐르는 열 매체를 포함하는 것을 특징으로 하는 실리콘 산화막 형성 장치.
  11. 제1항에 있어서,
    상기 수증기 발생 장치가 적어도,
    (A) 수소 가스와 산소 가스를 고온에서 반응시킴으로써 수증기를 생성시키는 장치,
    (B) 순수(純水)를 가열함으로써 수증기를 생성시키는 장치,
    (C) 산소 가스 또는 불활성 가스로 가열 순수를 버블링(bubbling)함으로써 수증기를 생성시키는 장치,
    (D) 촉매의 존재 하에, 수소 가스와 산소 가스를 반응시킴으로써 수증기를 생성시키는 장치,
    (E) 산소 플라즈마와 수소 플라즈마 간의 반응에 따라 수증기를 생성시키는 장치
    중에서 선택되는 하나의 장치인 것을 특징으로 하는 실리콘 산화막 형성 장치.
  12. 처리실 및 이 처리실 내에서 수증기가 결로되는 것을 방지하고/방지하거나 처리실 내에서 결로된 물을 증발시키는 결로 방지/증발 수단을 구비한 실리콘 산화막 형성 장치를 사용하여, 처리실에 실리콘층을 가지는 기판을 이송하고, 처리실에 수증기를 도입하여 실리콘층 표면을 열산화하는 실리콘 산화막 형성 방법에 있어서,
    상기 방법은 처리실 내에서 실리콘층 표면을 열산화한 후, 처리실 내에서 수증기가 결로되어 있지 않은 상태 및/또한 처리실 내에서 결로된 물을 증발시킨 상태에서, 처리실 내를 불활성 가스로 치환(置換)하여, 수증기를 처리실 밖으로 제거한 후, 기판을 처리실 밖으로 이송하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  13. 제12항에 있어서,
    실리콘 산화막 형성 장치는,
    (a) 수증기 발생 장치,
    (b) 상기 처리실에 수증기를 도입하기 위해 처리실의 상부에 배설된 수증기 도입부,
    (c) 상기 처리실의 하부에 배설되며, 처리실 내의 가스를 배기하기 위한 가스 배기부,
    (d) 상기 처리실의 바닥에 배설되며, 처리실과 연통되는 기판 이송부,
    (e) 실리콘층을 가지는 기판을 복수 수납하는 기판 수납부 및 상기 기판 수납부의 바닥에 배설된 단열 부재로 구성된 기판 수납 장치,
    (f) 상기 기판 수납 장치를 기판 이송부로부터 처리실로 이송하도록 상하 방향으로 승강시키는 엘리베이터 장치 및,
    (g) 상기 처리실의 외부에 배설되며, 실리콘층을 가열하는 가열 장치
    를 추가로 구비하며,
    상기 결로 방지/증발 수단은 단열 부재의 표면에서의 수증기의 결로를 방지하며, 또한 단열 부재 표면에 결로된 물을 증발시키는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  14. 제13항에 있어서,
    상기 결로 방지/증발 수단에 의해, 상기 단열 부재의 표면 온도를 100℃ 내지 150℃의 범위로 제어하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  15. 제13항에 있어서,
    상기 결로 방지/증발 수단은 불활성 가스원, 처리실에 배설된 불활성 가스 도입부, 불활성 가스 도입부와 불활성 가스원을 접속하는 배관 및 처리실 내로 도입되는 불활성 가스를 가열하기 위한 가열 수단을 포함하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  16. 제15항에 있어서,
    상기 처리실에 도입된 불활성 가스의 흐름이 단열 부재에 충돌하도록 상기 불활성 가스 도입부가 처리실 내에 배치되는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  17. 제15항에 있어서,
    상기 결로 방지/증발 수단이 가스 배기부로부터 배기된 가스 중의 수분량을 측정하는 수단을 추가로 구비하고,
    상기 가스 배기부로부터 배기된 가스 중의 수분량이 소정의 값 이하로 된 후, 기판을 처리실 밖으로 이송하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  18. 제13항에 있어서,
    상기 결로 방지/증발 수단이 처리실의 외부에 배설되며, 단열 부재를 가열하는 보조 가열 장치를 포함하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  19. 제18항에 있어서,
    상기 결로 방지/증발 수단은 단열 부재의 표면에 장착된 온도 검출 수단 및 보조 가열 장치를 제어하기 위한 제어 장치를 추가로 포함하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  20. 제19항에 있어서,
    상기 보조 가열 장치가 히터인 것을 특징으로 하는 실리콘 산화막 형성 방법.
  21. 제19항에 있어서,
    상기 보조 가열 장치가 배관 및 그 배관 속을 흐르는 열 매체를 포함하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  22. 제12항에 있어서,
    처리실에 도입되는 수증기를 (A) 수소 가스와 산소 가스를 고온에서 반응시킴으로써, (B) 순수를 가열함으로써, (C) 산소 가스 또는 불활성 가스로 가열 순수를 버블링함으로써, (D) 촉매의 존재 하에, 수소 가스와 산소 가스를 반응시킴으로써, 또한 (E) 산소 플라즈마와 수소 플라즈마 간의 반응에 따라 수증기를 생성시키는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  23. 제12항에 있어서,
    처리실 내에서 실리콘층 표면을 열산화한 후, 처리실 내에서 수증기가 결로되지 않은 상태로 하고, 또한 처리실 내에서 결로된 물을 증발시킨 상태에서, 처리실 내를 불활성 가스로 치환하여, 수증기를 처리실 밖으로 제거한 후, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써 형성된 실리콘 산화막에 열처리를 실시한 후, 기판을 처리실 밖으로 이송하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  24. 제12항에 있어서,
    실리콘 산화막을 형성하기 위해 실리콘 산화막 형성 장치 밖으로 이송된 기체는 열처리 장치 내로 이송되어, 이 열처리 장치의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써 상기 형성된 실리콘 산화막에 열처리를 실시하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  25. 실리콘층을 가지는 기판을 처리실로 이송 후, 처리실에 수증기를 도입하여 실리콘층 표면을 열산화하고, 이어서 처리실 내를 불활성 가스 분위기로 치환하여, 처리실 내의 수증기 및/ 또는 결로된 물을 처리실 밖으로 제거한 후, 기판을 처리실 밖으로 이송하는 공정을 포함하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  26. 제25항에 있어서,
    실리콘층 표면을 열산화할 때의 처리실 분위기 온도와, 수증기 및/또는 결로된 물을 처리실 밖으로 제거할 때의 처리실 분위기 온도는 거의 동일한 것을 특징으로 하는 실리콘 산화막 형성 방법.
  27. 제26항에 있어서,
    실리콘층 표면을 열산화할 때의 처리실 주변 온도는 750℃ 이하인 것을 특징으로 하는 실리콘 산화막 형성 방법.
  28. 제25항에 있어서,
    수증기 및/또는 결로된 물을 처리실 밖으로 제거할 때, 처리실 밖으로 배기된 불활성 가스 중의 수분량을 측정하여, 상기 수분량이 소정의 값 이하로 된 후, 기판을 처리실로부터 이송하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  29. 제28항에 있어서,
    상기 소정의 값은, 건조(乾燥) 불활성 가스 1kg당 0.02kg인 것을 특징으로 하는 실리콘 산화막 형성 방법.
  30. 제25항에 있어서,
    처리실 내의 수증기 및/또한 결로된 물을 처리실 밖으로 제거한 후, 처리실을 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써 형성된 실리콘 산화막에 열처리를 실시한 후, 기판을 처리실 밖으로 이송하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
  31. 제25항에 있어서,
    처리실로부터 이송된 기판을, 열처리 장치 내로 이송하고, 열 처리 장치의 분위기를 할로겐 원소를 함유하는 불활성 가스 분위기로 함으로써, 형성된 실리콘 산화막에 열처리를 실시하는 것을 특징으로 하는 실리콘 산화막 형성 방법.
KR1019990021135A 1998-06-08 1999-06-08 실리콘산화막형성장치및실리콘산화막형성방법 KR20000006011A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP98-159606 1998-06-08
JP10159606A JPH11354516A (ja) 1998-06-08 1998-06-08 シリコン酸化膜形成装置及びシリコン酸化膜形成方法

Publications (1)

Publication Number Publication Date
KR20000006011A true KR20000006011A (ko) 2000-01-25

Family

ID=15697393

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990021135A KR20000006011A (ko) 1998-06-08 1999-06-08 실리콘산화막형성장치및실리콘산화막형성방법

Country Status (4)

Country Link
US (2) US6239044B1 (ko)
JP (1) JPH11354516A (ko)
KR (1) KR20000006011A (ko)
TW (1) TW413864B (ko)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330468A (ja) 1998-05-20 1999-11-30 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
JP2000349285A (ja) * 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
TW460942B (en) * 1999-08-31 2001-10-21 Mitsubishi Material Silicon CVD device, purging method, method for determining maintenance time for a semiconductor making device, moisture content monitoring device, and semiconductor making device with such moisture content monitoring device
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
TW476996B (en) * 2000-02-28 2002-02-21 Mitsubishi Material Silicon Semiconductor manufacturing method and semiconductor manufacturing apparatus
KR100560867B1 (ko) * 2000-05-02 2006-03-13 동경 엘렉트론 주식회사 산화방법 및 산화시스템
EP1160593A3 (en) * 2000-05-29 2004-03-10 Shin-Etsu Chemical Co., Ltd. Manufacture of optical waveguide substrate
TW578214B (en) 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
US6715497B2 (en) * 2001-01-02 2004-04-06 International Business Machines Corporation Treatment to eliminate polysilicon defects induced by metallic contaminants
US7186985B2 (en) * 2001-07-30 2007-03-06 Dxray, Inc. Method and apparatus for fabricating mercuric iodide polycrystalline films for digital radiography
JP4398126B2 (ja) * 2001-12-06 2010-01-13 ケイ・エス・ティ・ワ−ルド株式会社 二酸化シリコン膜の生成方法
JP3985545B2 (ja) * 2002-02-22 2007-10-03 セイコーエプソン株式会社 薄膜形成装置と薄膜形成方法、液晶装置の製造装置と液晶装置の製造方法と液晶装置、及び薄膜構造体の製造装置と薄膜構造体の製造方法と薄膜構造体、及び電子機器
JP4276813B2 (ja) * 2002-03-26 2009-06-10 株式会社日立国際電気 熱処理装置および半導体製造方法
US6780720B2 (en) * 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6759302B1 (en) * 2002-07-30 2004-07-06 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxides by plasma nitridation on oxide
US7750654B2 (en) * 2002-09-02 2010-07-06 Octec Inc. Probe method, prober, and electrode reducing/plasma-etching processing mechanism
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6808993B2 (en) * 2003-01-08 2004-10-26 Intel Corporation Ultra-thin gate dielectrics
JP3974547B2 (ja) * 2003-03-31 2007-09-12 株式会社東芝 半導体装置および半導体装置の製造方法
US20050005504A1 (en) * 2003-06-30 2005-01-13 Munagavalasa Murthy S. Volatile insect control sheet and method of manufacture thereof
JP3962722B2 (ja) * 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
JP4202951B2 (ja) * 2004-03-08 2008-12-24 東京エレクトロン株式会社 半導体装置の配線形成方法
KR100538884B1 (ko) * 2004-03-30 2005-12-23 주식회사 하이닉스반도체 플래쉬 메모리소자의 제조방법
US7132372B2 (en) * 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
KR100673205B1 (ko) * 2004-11-24 2007-01-22 주식회사 하이닉스반도체 플래쉬 메모리소자의 제조방법
JP5339722B2 (ja) * 2005-03-18 2013-11-13 株式会社アルバック 成膜方法及び成膜装置並びに永久磁石及び永久磁石の製造方法
US20060237137A1 (en) * 2005-04-21 2006-10-26 Shao-Chi Chang Semiconductor apparatus capable of reducing outgassing pollution and method of achieving the same
US8008215B2 (en) * 2005-05-12 2011-08-30 Massachusetts Institute Of Technology Integration of buried oxide layers with crystalline layers
JP5007531B2 (ja) * 2006-06-30 2012-08-22 大日本印刷株式会社 蒸気処理装置及び蒸気処理方法
DE102006041424A1 (de) * 2006-09-04 2008-03-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur simultanen Dotierung und Oxidation von Halbleitersubstraten und dessen Verwendung
US8124916B2 (en) * 2007-04-16 2012-02-28 Maxim Integrated Products, Inc. Thermal processing of silicon wafers
ITPD20070272A1 (it) * 2007-08-03 2009-02-04 Nuova Ompi Srl Procedimento per la produzione di contenitori in vetro e prodotto ottenuto
JP5560556B2 (ja) * 2008-11-17 2014-07-30 東京エレクトロン株式会社 処理装置
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion
WO2012023387A1 (ja) * 2010-08-20 2012-02-23 三菱瓦斯化学株式会社 トランジスタの製造方法
US9113943B2 (en) 2011-03-30 2015-08-25 Covidien Lp Ultrasonic surgical instruments
US9114181B2 (en) 2011-03-30 2015-08-25 Covidien Lp Process of cooling surgical device battery before or during high temperature sterilization
KR20130023975A (ko) * 2011-08-30 2013-03-08 엘지이노텍 주식회사 산화 및 열처리를 위한 공정 장치 및 산화 및 열처리를 위한 공정 방법
JP6019792B2 (ja) * 2012-06-20 2016-11-02 東京エレクトロン株式会社 熱処理装置
CN104520975B (zh) * 2012-07-30 2018-07-31 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10494735B2 (en) * 2015-01-21 2019-12-03 Sumitomo Electric Industries, Ltd. Crystal growth apparatus, method for manufacturing silicon carbide single crystal, silicon carbide single crystal substrate, and silicon carbide epitaxial substrate
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
CN107841712B (zh) * 2017-11-01 2018-10-30 浙江水晶光电科技股份有限公司 高折射率氢化硅薄膜的制备方法、高折射率氢化硅薄膜、滤光叠层和滤光片
TW202236406A (zh) * 2021-01-26 2022-09-16 日商東京威力科創股份有限公司 基板處理方法、零件處理方法及基板處理裝置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890008922A (ko) * 1987-11-21 1989-07-13 후세 노보루 열처리 장치
JP3230836B2 (ja) * 1992-04-09 2001-11-19 東京エレクトロン株式会社 熱処理装置
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
JPH06204157A (ja) * 1992-12-25 1994-07-22 Tokyo Electron Tohoku Ltd 縦型熱処理装置
US5484483A (en) * 1993-02-05 1996-01-16 Asm Japan, K.K. Thermal treatment apparatus
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
JP3533583B2 (ja) * 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
US5948283A (en) * 1996-06-28 1999-09-07 Lam Research Corporation Method and apparatus for enhancing outcome uniformity of direct-plasma processes
US6010969A (en) * 1996-10-02 2000-01-04 Micron Technology, Inc. Method of depositing films on semiconductor devices by using carboxylate complexes
JPH10321610A (ja) * 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
JPH11354516A (ja) 1999-12-24
US20010041462A1 (en) 2001-11-15
TW413864B (en) 2000-12-01
US6589349B2 (en) 2003-07-08
US6239044B1 (en) 2001-05-29

Similar Documents

Publication Publication Date Title
KR20000006011A (ko) 실리콘산화막형성장치및실리콘산화막형성방법
JP2000349081A (ja) 酸化膜形成方法
US5217501A (en) Vertical wafer heat treatment apparatus having dual load lock chambers
JPWO2013065771A1 (ja) 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
US20220139696A1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
JPH11162970A (ja) 酸化膜の形成方法
JPH11204517A (ja) シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
JPH11186255A (ja) シリコン酸化膜の形成方法
TWI469216B (zh) 半導體裝置之製造方法及基板處理裝置
JP2000216154A (ja) 酸化膜の形成方法及びp形半導体素子の製造方法
JP3757566B2 (ja) シリコン酸化膜の形成方法及び酸化膜成膜装置
TWI747084B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP2000068266A (ja) 酸化膜の形成方法
JPH11135492A (ja) シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
JP2001127280A (ja) 半導体装置の製造方法及びpチャネル型半導体装置の製造方法
JP2008047752A (ja) 半導体装置の製造方法及び装置
JP2001217198A (ja) 半導体装置の製造方法
JP3800788B2 (ja) シリコン酸化膜の形成方法
JP3952542B2 (ja) シリコン酸化膜の形成方法
JP2000216156A (ja) シリコン窒化酸化膜の形成方法及びp形半導体素子の製造方法
JP2000340670A (ja) 絶縁膜及びその形成方法
JP7515364B2 (ja) ボート搬入方法及び熱処理装置
JPH11233508A (ja) 絶縁膜の形成方法
JPH10284484A (ja) シリコン酸化膜の形成方法
JPH1174264A (ja) シリコン酸化膜の形成方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid