KR19980087425A - 구리 산화물을 제거하기 위해 구리 기판 표면을 선택적으로 자체 청정화하는 시스템 및 방법 - Google Patents

구리 산화물을 제거하기 위해 구리 기판 표면을 선택적으로 자체 청정화하는 시스템 및 방법 Download PDF

Info

Publication number
KR19980087425A
KR19980087425A KR1019980019359A KR19980019359A KR19980087425A KR 19980087425 A KR19980087425 A KR 19980087425A KR 1019980019359 A KR1019980019359 A KR 1019980019359A KR 19980019359 A KR19980019359 A KR 19980019359A KR 19980087425 A KR19980087425 A KR 19980087425A
Authority
KR
South Korea
Prior art keywords
metal
metal level
copper
chamber
level
Prior art date
Application number
KR1019980019359A
Other languages
English (en)
Other versions
KR100317508B1 (ko
Inventor
쓰 느옌
로렌스 제이 카르네스키
데이비드 알 에반스
셍 텡 쓰
Original Assignee
쯔지 하루오
샤프 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쯔지 하루오, 샤프 가부시끼가이샤 filed Critical 쯔지 하루오
Publication of KR19980087425A publication Critical patent/KR19980087425A/ko
Application granted granted Critical
Publication of KR100317508B1 publication Critical patent/KR100317508B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers

Abstract

본 발명은 층간접속 금속성 재료의 퇴적을 위한 준비단계에서 구리산화물이 없는 구리 표면을 선택적으로 에칭하는 시스템 및 방법이 제공하고 있다. 본 방법은 Hhfac와 같은 β-디케톤으로 금속산화물을 제거한다. Hhfac는 증기 형태로 시스템내에 송달되고, 또 거의 독점적으로 구리산화물과 반응한다. 청정화 공정의 부산물은 진공압을 사용하여 시스템으로부터 제거를 위해 휘발성이다. 본 공정이 대부분의 IC공정 시스템에 용이하게 채택될 수 있기 때문에, 공정 챔버로부터 IC의 제거 없이 무산소 환경에서 실시될 수 있다. 자체 청정화 공정은 소량의 구리산화물이 층간접속 금속위에 퇴적시키기 전에, 재형성되도록한다. 상기 방식으로, 구리 표면 및 층간접속 금속 재료 사이에 매우 높은 도전성 전기 층간접속이 형성된다. 아래의 구리층이 Hhfac증기로 자체 청정화된 금속 층간접속을 갖는 IC가 또한 제공되어 있다.

Description

구리 산화물을 제거하기 위해 구리 기판 표면을 선택적으로 자체 청정화하는 시스템 및 방법
본 발명은 집적회로(IC) 처리 및 제조에 관한 것이고, 보다 구체적으로는 구리 IC 표면으로부터 구리산화물을 자체 청정화하여 구리 및 이후에 퇴적되는 도전성 재료 사이의 도전성을 향상시키는 시스템 및 방법에 관한 것이다.
전자 제품의 소형화, 저가격화, 고기능화에 대한 점차적인 요구는 보다 작은 기하학 형상을 갖는 집적회로 및 대형기판에 대한 필요성을 발생시킨다. 또한, 회로를 IC 기판상에 보다 고밀도로 패키징하는 것에 대한 요구도 생겼다. 보다 작은 기하학적 형상을 갖는 IC회로에 대한 요구는 부품 및 유전체층의 층간 접속이 가능한 한 작을 필요가 있다. 따라서, 비아 층간 접속부 및 접속 배선의 폭을 감소시키는 연구가 계속되었다. 층간 접속부의 표면적이 작아짐에 따라 층간 접속부의 도전성은 감소하게 되고, 또 그 결과 증대되는 층간 접속부의 저항은 IC 설계에 있어 장해가 된다. 고저항을 갖는 도체는 높은 임피던스 및 높은 전파지연을 갖는 도전로를 형성한다. 이러한 문제에 의해, 신뢰할 수 없는 신호 타이밍, 신뢰할 수 없는 전압레벨, 및 IC의 부품간의 신호 지연이 유발된다. 전파 불연속성은 접속이 불량한 교차 도전면 또는 매우 다른 임피던스 특성을 갖는 도체의 접합(jointing)에 기인한다.
층간 접속부 및 비아는 낮은 저항, 및 휘발성 처리 환경에 대한 내성을 가질 필요가 있다. 전기 활성 영역 사이에 층간 접속부 또는 비아를 형성하기 위해 집적회로의 제조에 있어서, 알루미늄 및 텅스텐 금속이 종종 사용된다. 상기 금속은 특수한 처리를 필요로 하는 구리와는 달리, 제조 환경에서 사용하기 용이하기 때문에 범용적이다.
전기 회로내의 배선 및 비아의 크기를 감소시키기 위한 노력에 있어서, 알루미늄을 구리(Cu)로 대체하는 자연스런 선택이다. 구리의 도전성은 알루미늄의 도전성의 약 2배이고 또 텅스텐의 도전성의 3배이상이다. 그 결과, 알루미늄 배선폭의 반을 갖는 구리 배선을 통해 같은 양의 전류를 흐르게 할 수 있다.
구리의 전자이동 특성은 알루미늄보다 우수하다. 알루미늄의 전자이동에 의한 열화 및 파손 가능성은 구리의 약 10배이다. 그 결과, 구리 배선은 알루미늄 배선보다 훨씬 작은 단면적을 갖더라도, 보다 양호한 전기적 특성을 유지할 수 있다.
그러나, IC 처리에 있어서 구리의 사용과 관련된 문제가 있다. 구리는 IC 처리에 사용된 대부분의 재료를 오염시키고, 또 구리가 이동하지 않도록 주의가 취해져야 한다. 구리가 집적회로 재료로 확산하는 문제를 취급하기 위한 다양한 수단이 제안되었다. 구리의 확산을 방지하는 배리어로서, 몇몇의 재료, 특히 고융점 금속이 제안되었다. 텅스텐, 몰리브덴 및 질화티탄(TiN)은 구리 확산 배리어로서 용도에 적합할 수 있는 고융점 금속의 예이다. 그러나, 구리와 상기 확산 배리어 재료의 접착(adhesion)은 IC처리에 대한 문제가 되고, 또 이러한 재료의 도전성은 IC층간 접속부의 형성에 관한 문제가 있다.
선택된 IC부분의 기하학적 배열이 미세한 경우, 스퍼터링과 같은 종래의 금속 퇴적처리를 이용하여 기판상 또는 비아내로 금속을 퇴적시킬 수 없다. 알루미늄 또는 구리의 갭 충전능력이 불량하기 때문에, 이러한 금속을 스퍼터링하여 작은 직경의 비아를 충전시키는 것은 불가능하다. 구리를 퇴적시키기 위한 해당 산업 분야에서의 다양한 화학 증착(CVD) 기술이 개발 중이다.
통상적인 CVD 처리에 있어서, 구리를 유기 리간드와 결합하여 휘발성 구리 화합물 또는 전구체를 제조한다. 즉, 구리를 쉽게 기화되는 화합물로 혼입한다. 확산 배리어 재료와 같은 집적회로의 선택 표면을 고온 환경에서 가스를 함유하는 구리에 노출한다. 휘발성 구리 가스 화합물이 열화되면, 가열된 선택 표면상에 구리가 잔류한다. 구리 화합물의 분자 구조는 선택 표면상의 구리막 잔류물의 도전성에 적어도 부분적으로 영향을 미친다는 것이 일반적으로 인식되어 있다.
유전체 층간레벨에 의해 분리된 구리와 같은 금속레벨 사이의 접속부는 통상적으로 금속레벨 사이에 비아를 형성시키는 다마신(damascene)법을 사용하여 형성된다. 먼저, 아래측의 구리막은 유전체로 완전히 피복시키는데, 이때 통상적인 유전체는 이산화 실리콘이다. 이후, 유전체상에 패턴화된 포토레지스트 프로필을 형성한다. 레지스트 프로필은 비아가 형성될 유전체의 영역에 상응하는 포토레지스트에 있는 개구, 또는 홀을 가진다. 잔류되는 유전체의 다른 영역은 포토레지스트로 피복한다. 이후, 포토레지스트로 피복되지 않은 유전체는 에칭을 실시하여 포토레지스트의 홀의 아래에 있는 산화물을 제거한다. 이후, 포토레지스트를 박리한다. 이후, 구리 또는 다른 몇몇 금속성 재료의 박막을 사용하여 비아를 충전한다. 이어서, 구리 비아를 갖는 유전체로 구성된 층은 구리막을 피복한다. 해당 기술분야에서 잘 공지된 바와 같이, 잔류된 과량의 구리는 화학 기계 연마(CMP)법에 의해 제거된다. 그 결과는 인레이드(inlaid) 또는 다마신 구조이다.
CVD 처리를 사용하는 구리의 퇴적은 종종 구리 표면상에 부산물의 퇴적을 수반한다. 구리는 퇴적하기까지 CVD 전구체에서 리간드와 결합된다. 구리가 전구체로부터 열화하면, 리단드 또는 리간드의 일부가 고형분 형태로 열화하거나, 또는 환경내의 다른 재료와 결합하여 구리막을 열화시킬 수 있다. 또, 이 구리막은 부산물로 피복하는 다른 IC재료 및 에칭 처리에 노출된다. 후에 퇴적하는 금속층과 양호한 전기적 접속을 얻기 위해서는 상기 부산물은 제거되어야 한다. 그 결과, 상기 구리막은 IC에서 금속성 접속부와 인터페이스할 수 있기 전에, 도전성을 개선시키기 위해 청정화되어야 한다.
트 누엔, 로렌스 J. 카르네스키 및 린 R. 알렌에 의해 발명되고 본 발명과 동일한 양수인에게 양도된 1996년 9월 20일에 출원된 동시계류 중인 특허출원 제 08/717,267호, Oxidized Diffusion Barrier Surface for the Adherence of Copper and Method for Same(Attorney Docket Do 243)은 확산 배리어 표면을 산화시켜 구리의 확산 배리어에 대한 접착성을 개선시키는 방법을 개시하고 있다. 저속 전기회로에서, 얇은 레벨의 산화물에 의해 제공되는 저항은 인식할 수 없다. 그러나, 고속 용도에 있어서는, 소량의 저항이더라도, 산화물 층상으로 흐르는 전자의 전파 지연을 증대시킬 수 있다. 상술한 특허 출원의 주목적은 구리가 표면상에 퇴적된 상태로 유지되는 능력을 개선시키는 것이나, 구리 및 다른 표면 사이의 도전성을 개선시키는 것은 아니다.
로렌스 J. 카르네스키 및 트 누엔에 의해 발명되고, 본원과 동일한 양수인에 양도된 1996년 9월 20일에 출원된 다른 동시계류 중인 미국 출원 제 08/717,315호, Copper Adhered to a Diffusion Barrier Surface and Method for Same은 다양한 반응성 가스종을 사용하여 확산 배리어 상에 산화물층을 형성시키는 것 없이, 구리의 접착성을 개선 방법을 개시하고 있다. 그러나, 이 특허 출원의 요점은 구리의 접착성을 개선시키는 것이지만, 표면에 퇴적된 구리의 도전성을 개선시키는 것은 아니다. 또, 상기 특허 출원의 방법은 통상적으로 확산 배리어 재료에만 적용된다.
느엔 및 마아에 의해 발명되고 본 출원과 동일한 양수인에게 양도된 1996년 10월 11일에 출원된 다른 동시계류 중인 미국 출원 제 08/729,567호, Chemical Vapor Deposition of Copper on an ION Prepared Conductive Surface and Method for Same은 도전성 표면 및 이후에 퇴적하는 구리 사이의 도전성을 개선시키기 위해 불활성 기체의 이온에 노출시키는 구리와 같은 도전성 표면을 제조하는 방법을 개시하고 있다. 그러나, 본 발명의 주목적은 부산물 및 IC처리 찌거기가 거의 없는 도전성 표면을 형성하는 것이다.
최소한으로 표면을 산소에 노출시켜 표면상에 구리산화물의 형성을 억제하면서, 구리 IC기판 표면을 청정화하는 방법을 사용하는 것이 유리하다.
구리 도전성 표면으로부터 구리의 제거를 최소화하면서, 구리 도전성 표면으로부터 구리산화물만을 선택적으로 제거하는 IC 기판을 청정화하는 방법을 사용하는 것이 유리하다.
선택적인 구리 청정화 처리가 구리산화물을 휘발시키는 IC 처리 시스템으로 쉽게 송달될 수 있는 상온 액체의 증기를 사용한다면 유리할 것이다. 상기 방식에서, IC는 청정화를 위한 챔버로부터 제거되고 또 산소 분위기에 노출되어서는 안 될 것이다.
금속표면으로부터 금속산화물만을 선택적으로 제거하여 청정화된 IC 기판을 얻는 것이 본 발명의 과제이다.
도 1 내지 4는 제 2금속레벨의 후속적인 퇴적을 위해 제조된 제 1금속레벨 표면을 갖고, 금속산화물의 제 1금속레벨 표면을 선택적으로 자체 청정화하는 공정을 갖는 IC 형성 공정도이다.
도 5는 케토-엔올 토우토머 이성질체 현상을 나타낸다.
도 6은 집적회로의 제 1금속레벨의 표면으로부터 금속산화물을 선택적으로 자체 청정화를 위한 시스템을 설명하는 도면이다.
도 7은 Hhfac의 증기압 대 온도의 역수 관계를 나타내는 그래프이다.
도 8은 제 1금속레벨의 표면으로부터 금속산화물을 선택적으로 자체 청정화하는 방법에서 공정을 나타내는 순서도이다.
도면의 주요 분분에 대한 부호의 설명
10 집적회로(IC), 12 유전체 층간레벨, 14 유전체 표면, 16 배리어 층,
18 제 1금속레벨, 20 배리어 금속층, 22 실리콘 층, 24 포토레지스트,
26 불소 플라즈마, 28 부산물 및 중합체, 29 제 1금속레벨 표면,
30 산소 또는 오존 플라즈마, 32 금속산화물, 34 Hhfac 증기,
36 제 2금속레벨, 38 제 3금속레벨, 40 챔버, 42 버블러,
44 웨이퍼 척, 46 인입, 48 증기 도출관, 50 밸브, 52 액체 주입기
54 펌프, 56 배관.
따라서, 유전체 표면을 갖는 유전체 층간레벨, 및 유전체 층간레벨의 아래에 설치된 복수의 금속레벨을 갖는 집적회로(IC)에서, 유전체 표면으로부터 비아를 통해 접근된, 제 1금속레벨의 표면으로부터 금속산화물을 선택적으로 자체 청정화하는 방법이 제공된다. 이 방법은,
a) 집적회로 주위의 분위기를 제공하는 공정,
b) 분위기를 거의 무산소 형태로 제어하여, 제 1금속레벨 표면상에서의 금속산화물의 형성을 최소화하는 공정,
c) 상기 분위기내에 β-디케톤 증기를 도입하는 공정, 및
d) 공정 c)에서 도입된 β-디케톤 증기를 사용하여 제 1금속레벨 표면으로부터 금속산화물을 휘발시키는 공정을 포함한다. 후에 퇴적하는 금속레벨과의 전기적 접속을 위한 준비 단계에 있어서, 제 1금속레벨 표면으로부터 소량의 재료를 제거한다.
통상적으로, 제 1금속레벨 표면은 구리 및 은으로 구성된 군으로부터 선정되는 금속이다. 본 발명의 하나의 요지에 있어서, 단계 c)는 β-디케톤으로서 도전성 층간레벨 접속 표면상에서 산화물을 휘발시키기 위해 헥사플루오로아세틸아세토네이트(Hhfac)를 사용하는 공정을 포함한다.
본 발명의 다른 요지에 있어서, 공정 (c)는 압력 약 85 토르미만, 온도 약 20℃에서 Hhfac을 송달하는 공정을 포함한다. 이 방법은 공정 d) 이후에, d)의 분위기로부터 수득된 휘발성 금속산화물을 제거하기 위해 진공을 제조하는 공정을 더 포함한다. 휘발성 청정화 부산물은 진공을 제조함으로써 IC의 영역으로부터 쉽게 제거된다.
본 발명의 다른 요지는 공정 c) 이전에 IC의 온도를 약 100℃ 내지 약 450℃로 조절하는 공정을 더 포함한다. 본 방법은 상기 공정 d) 이후에 상기 공정 b)에서 확립한 상기 분위기를 유지하면서, 상기 제 1금속레벨에서 전기적으로 인터페이스하는 것에 의해 상기 제 1 금속레벨 표면상에 제 2금속 레벨을 퇴적하는 공정을 더 포함한다. 청정화 공정은 낮은 저항 전기 접속을 용이하게 한다. 제 2금속레벨은 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 군으로부터 선정되는 금속이다.
집적회로는 유전체 표면을 갖는 유전체 층간레벨, 및 유전체 층간레벨의 아래에 설치된 복수의 제 1금속레벨을 포함하여 제공된다. 이 집적회로는 유전체 표면으로부터 제 1금속레벨에 이르는 비아, 및 제 1층간레벨 상의 표면을 더 포함한다. 제 1금속레벨 표면은 선택적인 자체 청정화를 위해 금속산화물의 제 1금속레벨 표면을 무산소 분위기에서 β-디케톤 증기에 의해 후속적인 도포를 위해 제공된다. 이 금속산화물은 제 1금속레벨 표면의 최소의 손실로 제거된다.
유전체 표면을 갖는 유전체 층간레벨, 및 유전체 층간레벨 아래에 설치된 복수의 금속레벨을 포함하는 집적회로에서, 유전체 표면으로부터 제 1금속레벨의 표면에 이르는 비아를 통해 접근된, 제 1금속레벨의 표면으로부터 금속산화물의 선택적인 자체 청정화 시스템이 제공된다. 이 시스템은 거의 무산소 상태로 분위기를 제어하여 제 1금속레벨 표면상에서 금속산화물을 최소화하는 챔버를 포함한다. 이 시스템은 챔버내에 β-디케톤 증기를 도입하기 위한 버블러, 및 챔버 내에 배치된 IC를 설치하기 위한 웨이퍼 척을 포함한다. 이 웨이퍼 척은 IC의 온도를 제어하기 위한 소정의 온도를 가지며, 이것에 의해 후에 퇴적된 금속레벨의 전기적 접속을 위한 준비 단계에서, β-디케톤이 제 1금속 표면상에서 금속산화물을 휘발시킨다.
도 1 내지 도 4는 제 2금속레벨의 후속적인 퇴적을 위해 제조된 제 1금속레벨 표면을 갖고, 금속산화물의 제 1금속레벨 표면을 선택적으로 자체 청정화하는 공정을 갖는 IC 형성 공정도이다. 금속산화물은 무산소 분위기에서 β-디케톤 증기로 청정화된다. 도 1은 IC(10)의 단면도이다. IC(10)은 유전체 표면(14)을 갖는 유전체 층간레벨(12) 및 유전체 층간레벨(12)의 아래에 있는 복수의 금속레벨로 구성되어 있다. 유전체 층간레벨(14)은 통상적으로 실리콘 산화물 또는 질화물, 불화탄소 또는 파릴렌(F 또는 N)과 같은 전기적 절연체이다. 유전체 층간레벨(12)의 아레에 배리어 절연층(16)이 있고, 배리어 절연층(16)의 아래에 제 1금속레벨(18)이 있다. 제 1금속레벨(18)은 구리 및 은으로 구성된 군으로부터 선정되는 금속이다. 제 1금속레벨(18)의 아래에 배리어 금속층(20)이 있고, 배리어 금속층(20)의 아래에 실리콘층(22)이 있다.
다수의 재료가 IC(10)의 유전체 층간레벨 및 배리어 레벨에서 사용될 수 있다. 도 1에서 선정된 재료 및 이 재료층의 배치는 제 1금속레벨(18)과 함께 IC 처리에서 사용되는 통상적인 것이지만, 제한은 없다. 배리어 층(16) 및 (20)은 본 발명을 실시하는데 필수는 아니지만, 제 1금속레벨(18)로부터 다른 민감한 IC 회로 재료(도시하지 않음)로의 금속원자의 이동을 방지하는 것이 중요한 경우에는 포함된다. 통상, IC(10)은 트랜지스터, 커패시터 및 저항기와 같은 결합된 다양한 전기 부품을 포함한다. 또한, IC(10)은 상기 부품의 접합을 포함하여 논리 네트워크 및 집적회로를 형성한다.
도 1은 제 1금속레벨(18)의 금속성 전기 층간 접속을 형성하는 다마신 처리에 있어 제 1 공정이다. 즉, 유전체 표면(14)으로부터 제 1금속레벨(18)까지 비아를 형성한다. 비아를 형성하는 하나의 방법은 포토레지스트(24)로 유전체 표면(14)을 피복하는 것이다. 포토레지스트(24)를 패턴화시켜 유전체 표면(14)을 노출시키는 갭이 포토레지스트(24)에서 나타난다. 유전체 표면(14)이 노출된 영역에 비아가 형성된다.
포토레지스트(24)를 퇴적하고 또 패턴화하여 개구를 형성시킨 후, IC(10)을 에칭하여 노출된 유전체 표면(14) 아래의 유전체 층간레벨(12)을 제거한다. 해당 기술분야에 공지된 바와 같이, 불소, 산소 또는 오존 플라즈마가 에칭처리에서 통상적으로 사용된다.
도 2는 플라즈마 에칭공정 이후의 IC(10) 단면도이다. 또한 포토레지스트(24)가 박리된다. 도 1에서 실시된 플라즈마 에칭 결과, 비아를 통해 유전체 표면(14)으로부터 접근되는 제 1금속레벨(18) 상의 제 1금속레벨 표면(29)상에 다양한 부산물 및 중합체(28)가 형성되어 있다. 유전체 층간레벨(12)을 에칭한 결과, 형성된 부산물(28)을 제거하는 것이 종종 곤란하다. 회분화(ashing)로서 언급되는 처리 공정을 사용하여 부산물(28)을 제거한다. 산소 또는 오존 플라즈마(30)는 등방성 에칭에 의해 부산물(28)을 비아로부터 제거한다. 다수의 처리에 있어서, 포토레지스트(24)(도1) 및 중합체 부산물(28)을 동일한 공정으로 제거한다.
도 3은 회분화처리 이후 IC(10)의 단면도이다. 회분화처리가 산소를 사용하기 때문에, 제 1금속레벨 표면(29) 상에 금속산화물(32)이 형성된다. 금속산화물층(32)을 제거하기 위해, Hhfac과 같은 β-디케톤 증기(34)를 도입한다. 예컨대 제 1금속레벨(18)이 구리이면, Hhfac이 구리 산화물과 반응하여 물 및 휘발성 Cu+2(hfac)2착물을 생성한다. 물도 역시 휘발성이므로, 이하에 나타낸 바와 같이 제 1금속레벨 표면(29)으로부터 CuO(32)가 증기상으로 완전히 제거된다:
CuO + 2Hhfac → Cu+2(hfac)2+ H2O
이하, 도 5를 참조로 하여, β-디케톤에 대해 보다 상세하게 설명되어 있다.
β-디케톤 증기(34)는 제 1금속레벨 표면(29)의 손실을 최소한으로 하여 금속산화물(32)을 제거한다. β-디케톤 증기(34)의 작용을 보다 잘 이해하기 위해, 아래에서 불소 플라즈마 (26) 및 산소 플라즈마(30)의 청정화 작용에 대한 간단한 비교를 하였다.
도 1 및 도 2에 도시된 불소 및 산소 플라즈마 에칭제, (26) 및 (30)은 이방성이고, 또 비교적 비선택적이다. 즉, 플라즈마(26) 및 (30)은 의도한 표적 재료 및 의도하지 않은 표적 재료 일부를 제거하는 방향성이다. IC(10) 및 IC(10)을 탑재한 웨이퍼 척(도시 안됨)은 플라즈마가 의도한 표적을 향하도록 이온화 환경중에 적절하에 설치되어야 한다. 도 1에서, 포토레지스트층(24) 아래의 최소 언더커팅(undercutting)을 갖는 비아를 형성하기 위해, 플라즈마(26)를 유전체 표면(14)에 대해 수직으로 향하게 한다. 도 2에 있어서, 비아 홀의 바닥에 있는 중합체층(28)을 제거하기 위해 산소 플라즈마(30)의 방향을 설정한다. 마찬가지로, 금속산화물층(32)은 비아의 바닥에 위치한다. 에칭 처리에 있어서, 제거되서는 안되는 민감한 표면을 보호하기 위해 주의가 필요하다.
β-디케톤 증기는 IC(10)이 설치되어 있는 분위기 전체에 편재해 있지만, 선택적으로 작용하여 금속산화물층(32)만을 제거한다. 본 발명의 요지는 β-디케톤이 Hhfac인 것이다. Hhfac와 구리 및 은의 화합물의 반응으로부터 형성된 청정화 부산물은 휘발성이다. 이들 휘발성 부산물은 제 1금속레벨 표면(29)의 손실을 최소한으로 하며, 또 후속적인 금속층 퇴적 전에 챔버의 진공을 파괴하는 것 없이, 제 1금속레벨 표면(29)으로부터 용이하게 제거된다.
도 4는 도 3에 도시한 바와 같이, 무산소 분위기에서 β-디케톤 증기(34)로 금속산화물(32)의 제 1금속레벨 표면(29)을 선택적으로 자체 청정화하기 위한 공정을 따른 IC(10)의 단면도이다. 제 1금속레벨 표면(29)은 다른 금속산화물층을 형성할 기회가 없으며, 또 이후의 금속레벨의 퇴적을 위해 준비된다. 제 2금속층(36)은 비아내 제 1금속레벨 표면(29) 및 유전체 표면(14) 상에 형성된다. 도 3에 도시된 바와 같이, 제 2금속층(36)은 자체 청정화 처리 이후에 제 1금속레벨(29)상에 퇴적되어 제 1금속레벨(18)과 전기적으로 접속한다. 자체 청정화 처리는 2개의 금속 구조물간에 낮은 저항의 전기적 층간접속 또는 인터페이스가 생기도록 도움을 준다. 제 2금속층(36)은 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 금속군으로부터 선정된다. 통상적으로, 제 2금속층(36)은 배리어 금속층(20)과 같은 배리어 금속층이다. 이것은 제 1금속레벨(18)로부터 아래에 있는 반도체 재료(도시 안됨)로의 금속원자의 이동을 방지하기 위해 사용된다. 제 2금속레벨(36)은 제 2금속레벨(36)상에 퇴적된 제 3금속레벨(38)과 전기적으로 인터페이스할 만큼 도전성이 있다. 제 1금속레벨(18)로부터 금속원자의 이동을 방지하는 것이 중요하지 않은 경우, 배리어층이 없는 제 1금속층(18), 제 2금속레벨(36)상에 제 3금속레벨이 퇴적된다. 또, 배리어층을 사용하는 경우라도, 제 2금속레벨(36)을 도4에 도시된 제 2금속레벨(36)과 제 3금속레벨(38)의 접합으로서 인식하는 것이 당해 산업에 있어 표준적인 관행이다. 명료하게 하기 위해, 제 2금속층(36) 및 제 3금속층(38)을 별도의 금속층으로서 설명했지만, 이하에서는 제 2금속층을 층(36) 및 (38)의 접합을 의미한다. Hhfac 증기(34)를 방출시켜 금속산화물층(32)을 휘발시키고, 진공을 이용하여 휘발된 부산물을 제거하는 비교적 단순한 처리는 금속산화물의 청정화 방법에 있어서 큰 개선이다. 통상적으로, 산화물의 구리 표면을 청정화하는 어떠한 시도도 없었다. 청정화 후에 챔버 사이에서 이동할 때 구리 표면이 제어되지 않은 분위기에서 노출은 표면상에 보다 많은 산화물의 형성을 일으킨다. 그 결과, IC 구조내에서 구리 표면의 도전성이 종종 저하된다. IC(10)을 무산소 환경에서 유지함으로써, 청정화 후의 제 1금속레벨 표면(29)의 재산화되는 것을 방지하고 또 제 2금속레벨(36)로의 전기적 접속이 개선된다. 또, Hhfac 증기(34)는 제 1금속레벨 표면(29)으로부터 금속산화물(32)만을 선택적으로 제거하기 때문에, 최소한의 도전성 재료가 제 1금속레벨(18)으로부터 제거된다. 이것은 제 1금속레벨 두께 0.5미크론 미만인 IC 제조의 다수의 설계에 있어서 고려할 중요한 점이다.
헥사플루오로아세틸아세톤 또는 hfac는 β-디케톤의 구체예이다. 이 통상적인 예는 잘 공지된 화합물인 아세틸아세톤 또는 acac이다. 이 화합물의 군은 하나 이상의 수소원자가 결합하고 있는 하나의 탄소원자에 의해 분리된 2개의 카르보닐 관능기를 포함하는 분자 구조가 특징이다. 따라서, β-디케톤은 콘쥬게이트된 이중결합에 의해 엔올형이 안정화되는 케토-엔올 토우토머 이성질체 현상이 특징이다. 이것으로 인해 케톤 및 엔올의 화학적 특성을 갖는 β-디케톤이 얻어진다. 또, 이중결합의 콘쥬게이트 특성은 π-전자의 상당한 비편재화를 의미한다. 이러한 비편재화된 전자는 금속원자의 d-전자와 용이하게 상호 작용하여 배위화합물을 형성한다. 이러한 화합물에서 2개의 카르보닐기의 존재에 의해, 각 β-디케톤 리간드는 금속원자와 2번 배위하고 폐환 또는 킬레이트화 구조를 형성한다. 더욱이, 케토엔올 토우토머 이성질체 현상에 관여하는 수소원자는 약한 힘으로 결합하고, 따라서 상당한 산성을 가진다. 따라서, β-디케톤은 금속성 양이온과 결합하여 안정한 복합체를 형성할 수 있는 안정한 음이온을 쉽게 형성한다. 구리 전구체(tmvs)(hfac)Cu(I)는 이러한 복합체의 예이지만, 이들 화합물의 다수의 다른 유형이 존재하고, 또 MOCVD 에 사용될 수 있다. MOCVD 전구체 중의 리간드로서 특정한 β-디케톤의 선택은 수득된 복합체의 소망하는 특성에 의해 결정된다. 통상적으로, 이러한 특성은 열안정성, 고휘발성 등이다. 일반적으로, hfac가 이러한 소망하는 특성을 갖는다는 것이 밝혀졌지만, hfac에 비해 보다 많은 소망하는 특성을 갖는 다른 β-디케톤을 개발하기 위한 연구가 계속되고 있다.
도 5는 케토엔올 이성질체 현상을 나타내는 도면이다. β-디케톤과 같은 화합물은 케토 및 엔올 유형으로 존재한다. 즉, β-케톤은 3가지 형으로서 존재하지만, 케토산이 보다 안정하다는 것이 일반적인 사실이다. 좌우 엔올형 사이에는 선호성이 없다. O 및 OH 기는 이들의 탄소 결합에서 어떠한 엔올형의 형성을 선호하는 경향이 없다. 도 5의 화학식에서, R, R1및 X와의 결합을 보이고 있다. R기 및 R1기는 C1 내지 C6알킬, 퍼플루오로알킬, 및 탄소원자와 결합하는 다른 치환기로 구성된 군으로부터 선정된다. R 및 R1기는 동일할 필요는 없다. C의 이후의 숫자는 알킬기 중의 탄소원자의 수를 의미하는데, 예컨대 C1알킬기는 1개의 탄소원자를 가진다. X기는 H, F, Cl, Br, I, 알킬, 퍼플루오로알킬, 및 CH 분자와 결합하는 치환기를 포함하는 관능기군으로부터 선정된다. 예컨대 Hhfac에서, R기 및 R1기는 모두 F3이고, X 관능기는 H이다.
도 6은 집적회로의 제 1금속레벨의 표면으로부터 금속산화물을 선택적으로 자체 청정화하는 시스템을 도시하고 있다. IC는 유전체 표면을 갖는 유전체 층간레벨, 및 유전체 층간레벨 아래에 있는 복수의 금속레벨을 포함하고 있다. 제 1금속레벨 표면은 비아를 통해 유전체 표면으로부터 제 1금속레벨에 이르고 있다. 시스템은 청정화 및 금속 퇴적처리 중에 분위기를 거의 무산소 상태로 제어하는 챔버(40)를 포함한다. 따라서, 제 1금속레벨 표면상에 금속산화물의 형성은 최소한으로 억제된다. 본 발명의 요지는 챔버(40)가 클러스터 툴(cluster tool), 또는 동일한 분위기를 공유하는 접속된 일련의 챔버인 것이다. 시스템은 β-디케톤 증기를 챔버(40)로 도입하거나 또는 송달하는 버블러(42)를 포함한다. 챔버(40)내에 배치된 웨이퍼 척(44)을 포함하고, 웨이퍼 척(44) 상에 IC가 설치된다. 웨이퍼 척(44)은 IC온도의 제어 또는 유지하기 위한 소정의 온도를 가진다. 이후에 퇴적하는 금속레벨에 전기적 접속을 시키기 위한 준비에 있어서, β-디케톤은 제 1금속레벨의 표면상에서 금속산화물을 휘발시킨다. 도 1 내지 4는 도 6에 도시된 바와 같은 시스템내에서 실시되는 IC중에 층간 접속을 형성하는 처리 공정에 상응한다. 도 1 내지 도 4의 제 1금속레벨(18)과 같이, 상술한 시스템에서 제조된 IC의 제 1금속레벨은 구리 및 은으로 구성된 군으로부터 선정되는 금속이다.
본 발명의 하나의 요지는 버블러(42)에 의해 도입된 β-디케톤 증기는 Hhfac이고, 이것에 의해 제 1금속레벨 표면으로 부터 금속산화물이 챔버(40)로부터 제거되기 쉽게하기 위해 휘발된다. 버블러(42)는 압력 약 85 토르미만 및 온도 약 20℃에서 Hhfac를 송달한다. 본 발명을 가치있게 하는 것은 Hhfac를 챔버(40)내에 도입할 때 상대적으로 용이하다는 것이다.
버블러(42)는 Hhfac와 같은 β-디케톤 액체를 함유하는 밀폐 앰풀(ampule)이다. 앰풀은 가스 유입관을 구비하고 있다. 이 관을 액체 표면 아래까지 삽입한다. Hhfac 증기를 배출하기 위해, 버블러(42)의 상부에 증기 도출관(48)을 배치한다. 통상적으로 He와 같은 불활성 가스인 캐리어 가스를 소정의 유속으로 유입(46)내에 강제 도입하고, Hhfac를 통해 버블링한다. 캐리어 가스를 Hhfac증기로 포화한다. 캐리어 가스 중에서 Hhfac 증기의 분압은 액체의 온도에 의해 결정되거나, 또는 제어한다.
도 7은 Hhfac 증기압 대 온도의 역수의 관계를 도시한 그래프이다. 이 그래프에 도시된 바와 같이, 상온(약 20℃)에서 대응하는 Hhfac 증기압은 약 85 토르이다. 이 관계는 Hhfac 액체에 대한 어떤 특별한 준비 없이 달성될 수 있다. 또, 도 6를 재참조하여, 버블러(42)와 챔버(40)의 사이에 배치된 밸브, 집단류(mass flow) 제어기(MFC) 또는 개구 배열(50)은 챔버(40)로 도입되는 Hhfac의 양을 제어하기에 충분하다.
웨이퍼 척(44)이 온도 100 내지 500℃를 갖는 것이 본 발명의 하나의 요지이다. 이 방식에서, 청정화 및 금속층 퇴적처리 중에 IC의 온도를 100 내지 450℃로 유지한다.
이 시스템은 금속을 포함하는 액체 전구체 화합물을 챔버(40)내에 도입하는 액체 주입기(52)를 더 포함한다. 금속산화물이 없는 제 1금속레벨 표면상에 제 2금속레벨을 퇴적하여 낮은 저항의 전기적 접속을 용이하게 한다. 즉, Hhfac를 사용하는 금속산화물의 청정화 처리 이후에, 액체 전구체를 배관(52)내에 주입하여 제 2금속레벨을 퇴적한다. 청정화 처리 및 금속 퇴적처리가 모두 챔버(40)의 무산소 환경에서 실시되므로 공정 사이에 최소의 금속산화물이 생성된다. 제 1금속레벨 표면상의 제 2금속레벨이 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 군으로부터 선정되는 금속인 것이 본 발명의 하나의 요지이다.
본 발명의 또 다른 구체예에서, 증기 송달 시스템은 금속을 포함하는 증기 전구체 화합물을 배관(52)상에서 챔버(40)내에 도입한다. 산화물이 없는 제 1금속레벨상에 제 2금속레벨을 퇴적하여 낮은 저항의 전기접속을 용이하게 한다. 제 1금속레벨 표면상의 제 2금속레벨은 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 군으로부터 선정되는 금속이다.
상기 시스템은 챔버(40)내에서 진공압을 형성하는 펌프(54)를 포함한다. 제 1금속레벨 표면의 휘발성 금속산화물을 배관(56)을 통하여 챔버(40)로부터 제거된다.
도 8은 제 1금속레벨상의 표면으로부터 금속산화물을 선택적으로 자체 청정화하는 방법에 있어 공정을 나타내는 흐름도이다. 공정(70)은 유전체 표면을 갖는 유전체 층간레벨 및 유전체 층간레벨의 아래에 있는 복수의 금속레벨을 갖는 IC회로를 제공한다. 제 1금속레벨의 표면은 비아를 통하여 유전체 표면으로부터 통한다. 공정(72)은 IC 주위의 분위기를 제공한다. 공정(74)은 분위기를 거의 무산소 상태로 제어함으로써 제 1금속레벨 표면상에 있는 금속산화물의 형성이 최소화되도록 한다. 공정(76)은 상기 분위기로 β-디케톤 증기를 도입한다. 공정(78)은 공정(76)에서 도입된 β-디케톤 증기를 사용하여 제 1금속레벨 표면으로부터 금속산화물을 휘발한다. 공정(74)에서 확립된 분위기를 유지하면서, 공정(80)은 제 1금속레벨 표면과 전기적으로 인터페이스하도록 제 1금속레벨 표면상에 있는 제 2금속레벨을 퇴적시킴으로써 청정화 처리가 낮은 저항의 전기적 접속을 용이하게 한다. 제 2금속레벨은 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 군으로부터 선정되는 금속이다. 공정(82)은 제 1금속레벨 표면으로부터 제거되는 재료가 최소량을 갖는 금속산화물을 선택적으로 자체 청정화된 제 1금속레벨 표면을 갖는 다층레벨 층간접속 집적회로인 제품이다. 이 제 1금속레벨 표면은 이후 퇴적하는 금속레벨과의 전기적 접속을 얻기 위한 준비에 있어 청정화한다.
제 1금속레벨이 구리 및 은을 포함하는 군으로부터 선정되는 금속인 것이 본 발명의 하나의 요지이다. 또 다른 요지는 공정(76)이 β-디케톤으로서 Hhfac를 사용하여 도전성 층간레벨의 접속 표면상의 산화물을 휘발시키는 것이다. 또한, 공정(76)은 압력 약 85 토르미만 및 온도 약 20℃에서 Hhfac를 송달하는 것을 포함한다.
본 발명의 하나의 요지는 공정(76)에 앞서, IC의 온도를 100 내지 450℃으로 제어하는 공정(84)을 더 포함한다. 본 발명의 또 다른 요지는 공정(78) 이후에, 공정(78)에서 수득된 휘발성 금속산화물을 제거하기 위해 진공을 형성함으로써 청정화 부산물이 IC의 영역으로부터 용이하게 제거되는 것을 더 포함한다.
상술한 IC, 방법 및 시스템은 보다 명료하게 하기 위해, 후에 퇴적하는 제 2금속층과 층간 접속시키기 의해 제 1금속층을 제조하는 것에 초점을 둔다. 다수의 금속층을 갖는 IC를 제조하는 것이 통상적이다. 상기 모든 금속층에는 층간접속이 만들어져야 한다. Hhfac 청정화 및 금속 퇴적처리가 단지 2개의 금속층만을 갖는 IC로 한정되지는 않는다. 상술한 처리공정을 IC내의 각 금속레벨에 대해 반복하여 다수의 금속레벨을 갖는 IC내의 모든 금속레벨에 층간접속을 시킨다.
본 발명에 따른 청정화 시스템의 잇점은 단순하고 또 효과적인 데 있다. 송달 시스템 및 Hhfac의 준비는 간단하여 거의 모든 IC처리로 쉽게 결합할 수 있다. 이 결합은 청정화 처리를 자체 실시하여 다음 금속층을 퇴적하기 전에 IC가 산소에 노출되지 않도록 한다. 상기 방법은 단지 구리 또는 은의 산화물과 반응시 선택적이므로, 청정화 처리를 단순하게 한다. 또, 청정화 부산물은 휘발성이고, 또 쉽게 IC 환경으로부터 쉽게 제거된다. 후에 퇴적하는 금속층은 액체 또는 증기 전구체를 사용하는 시스템으로부터 퇴적될 수 있다. 본 발명의 다른 다양한 예 및 구체예가 당업자에게 발생할 수 있다.

Claims (29)

  1. 유전체 표면을 갖는 유전체 층간레벨, 및 유전체 층간레벨의 아래에 설치된 복수의 금속레벨을 갖는 집적회로(IC)에 있어서, 유전체 표면으로부터 비아를 통해 접근된, 제 1금속레벨의 표면으로부터 금속산화물을 선택적으로 자체 청정화하는 방법으로서,
    a) 집적회로 주위의 분위기를 제공하는 공정,
    b) 상기 분위기를 거의 무산소 형태로 제어하여, 제 1금속레벨 표면상에서의 금속산화물의 형성을 최소화하는 공정,
    c) 상기 분위기내에 β-디케톤 증기를 도입하는 공정, 및
    d) 공정 c)에서 도입된 β-디케톤 증기를 사용하여 제 1금속레벨 표면으로부터 금속산화물을 휘발시키는 공정을 포함하여, 후에 퇴적하는 금속레벨과의 전기적 접속을 위한 준비 단계에서 상기 제 1금속레벨 표면으로부터 소량의 재료가 제거되는 방법.
  2. 제 1항에 있어서, 상기 제 1금속레벨이 구리 및 은으로 구성된 군으로부터 선정되는 금속인 방법.
  3. 제 1항에 있어서, 공정 c)가 하기 화학식의 β-디케톤을 사용하는 것을 포함하는 방법:
    상기 식에서, R 및 R1기는 C1 내지 C6알킬, 퍼플루오로알킬, 및 다른 치환기로 구성된 군으로부터 선정되고, 또 X기는 H, F, Cl, Br, I, 알킬, 퍼플루오로알킬, 및 치환기로 구성된 관능기로부터 선정됨.
  4. 제 3항에 있어서, 공정 c)가 β-디케톤으로서 헥사플루오로아세틸아세토네이트(Hhfac)를 사용하여 제 1금속레벨 표면상의 금속산화물을 휘발시키는 방법.
  5. 제 4항에 있어서, 공정 c)가 압력 약 85 토르미만 및 온도 약 20℃에서 Hhfac을 송달하는 것을 포함하는 방법.
  6. 제 1항에 있어서, 공정 d) 이후에,
    e) 상기 분위기로부터 공정 d)에서 수득된 휘발성 금속산화물을 제거하기 위해 진공을 형성하여, 청정화 부산물이 IC 영역으로부터 용이하게 제거되는 공정을 더 포함하는 방법.
  7. 제 1항에 있어서, 상기 공정 c) 이전에, f) IC 의 온도를 100℃ 내지 450℃으로 제어하는 공정을 더 포함하는 방법.
  8. 제 1항에 있어서, 상기 공정 d) 이후에, g) 공정 b)에서 확립된 분위기를 유지하면서, 제 1금속레벨과 전기적으로 인터페이스하도록 제 1금속레벨 표면상에 제 2금속레벨을 퇴적시킴으로써 청정화 처리가 낮은 저항의 전기적 접속을 용이하게 하는 공정을 더 포함하는 방법.
  9. 제 8항에 있어서, 공정 g)가 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au으로 구성된 군으로부터 선정된 제 2금속레벨을 퇴적하는 것을 포함하는 방법.
  10. 유전체 표면을 갖는 유전체 층간레벨; 상기 유전체 층간레벨의 아래에 설치된 복수의 금속레벨; 상기 유전체 표면으로 부터 제 1금속레벨에 이르는 비아; 상기 유전체 표면으로부터 비아를 통해 접근된, 무산소 분위기에서 β-디케톤 증기로 금속산화물의 상기 제 1금속레벨을 선택적으로 자체 청정화하기 위한 공정을 갖는 후속적인 금속 퇴적처리를 위해 준비된 상기 제 1금속레벨 표면; 을 포함하여, 제 1금속레벨 표면의 최소 손실로 금속산화물이 제거되는 집적회로.
  11. 제 10항에 있어서, 상기 비아내에 상기 제 1금속레벨 표면상의 제 2금속레벨, 자체 청정화 처리 이후에 제 1금속레벨 표면상에 상기 제 2금속레벨이 퇴적되어 상기 제 1금속레벨 표면과 전기적으로 접속되어 낮은 저항의 전기적 인터페이스가 형성되는 것을 더 포함하는 집적회로.
  12. 제 10항에 있어서, 상기 제 1금속레벨이 구리 및 은으로 구성된 군으로부터 선정된 금속인 집적회로.
  13. 제 10항에 있어서, 상기 β-디케톤 증기가 하기 화학식을 갖는 집적회로:
    상기 식에서, R 및 R1기는 C1 내지 C6의 알킬, 퍼플루오로알킬, 및 다른 치환기로 구성된 군으로부터 선정되고, 또 X기는 H, F, Cl, Br, I, 알킬, 퍼플루오로알킬 및 치환기로 구성된 관능기로부터 선정됨.
  14. 제 13항에 있어서, β-디케톤 증기가 Hhfac이고, 청정화 부산물이 상기 제 1금속레벨 표면으로부터 상기 금속산화물을 제거하기가 용이하도록 휘발성인 집적회로.
  15. 제 14항에 있어서, 상기 제 1금속레벨 표면이 청정화되고, 또 상기 제 2금속레벨이 제어된 분위기를 갖는 챔버내에서 퇴적되며, 또 Hhfac가 압력 약 85 토르미만 및 온도 약 20℃에서 버블러를 통하여 챔버에 송달되는 집적회로.
  16. 제 14항에 있어서, 상기 IC가 소정의 온도를 갖는 웨이퍼 척 상에 설치되고, 또 청정화 처리 및 금속층 퇴적처리 중에 IC 온도가 약 100 내지 450℃로 유지되는 집적회로.
  17. 제 16항에 있어서, 청정화 및 제 2금속레벨 퇴적처리 중에 챔버 분위기가 거의 무산소로 제어되어, 청정화 공정이 상기 제 2금속레벨과 상기 제 1금속레벨 표면의 사이에서 낮은 저항의 전기 접속이 용이한 집적회로.
  18. 제 17항에 있어서, 상기 제 2금속레벨이 TiN, TiSixNy, TaSixNy, TaN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au으로 구성된 군으로부터 선정되는 집적회로.
  19. 유전체 표면을 갖는 유전체 층간레벨, 및 유전체 층간레벨 아래에 설치된 복수의 금속레벨을 포함하는 집적회로(IC)에서, 유전체 표면으로부터 제 1금속레벨의 표면에 이르는 비아를 통해 접근된, 제 1금속레벨의 표면으로부터 금속산화물을 선택적으로 자체 청정화하며, 분위기를 거의 무산소 상태로 제어하여 제 1금속레벨 표면상에서 금속산화물의 형성을 최소화하는 챔버; 상기 챔버내에 β-디케톤 증기를 도입하기 위한 버블러; 및 상기 챔버 내에 배치되고 또 그 위에 IC가 설치되고, IC의 온도를 제어하기 위해 소정의 온도를 가지는 웨이퍼척을 포함하여, 후에 퇴적된 금속레벨의 전기적 접속을 위한 준비 단계에서 β-디케톤이 제 1금속 표면상에서 금속산화물을 휘발시키는 시스템.
  20. 제 19항에 있어서, 상기 β-디케톤 증기가 하기 화학식을 갖는 시스템:
    상기 식에서, R기 및 R1기가 C1 내지 C6알킬, 퍼플루오로알킬, 및 다른 치환기로 구성된 군으로부터 선정되고, 또 X기는 H, F, Cl, Br, I, 알킬, 퍼플루오로알킬, 및 치환기로 구성된 관능기로부터 선정됨.
  21. 제 20항에 있어서, 상기 버블러에 의해 도입된 β-디케톤 증기가 Hhfac이고, 제 1금속레벨 표면으로부터 금속산화물이 휘발되어 상기 챔버로부터 쉽게 제거되는 시스템.
  22. 제 21항에 있어서, 상기 버블러가 압력 약 85 토르미만 및 온도 약 20℃에서 Hhfac을 송달하는 시스템.
  23. 제 21항에 있어서, 상기 웨이퍼 척의 온도가 100 내지 500℃인 시스템.
  24. 제 21항에 있어서, e) 금속을 포함하는 액체 전구체 화합물을 상기 챔버내에 도입하기 위한 액체 주입기를 더 포함하여, 제 2금속레벨을 금속산화물이 없는 제 1금속레벨 표면상에 퇴적시켜 낮은 저항의 전기 접속이 용이한 시스템.
  25. 제 24항에 있어서, 제 1금속레벨 표면상에 제 2금속레벨을 형성하기 위해 상기 챔버내에 도입된 상기 액체 전구체 화합물이 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 군으로부터 선정되는 시스템
  26. 제 21항에 있어서, f) 금속을 포함하는 증기 전구체 화합물을 상기 챔버내에 도입하는 증기 송달 시스템을 더 포함하여, 제 2금속레벨을 금속산화물이 없는 제 1금속레벨 표면상에 퇴적시켜 낮은 저항의 전기접속이 용이한 시스템.
  27. 제 26항에 있어서, 제 1금속레벨 표면상에 제 2금속레벨을 형성하기 위해 상기 챔버내에 도입되는 증기 전구체 화합물이 TiN, TiSixNy, TaSixNy, TaN, WN, WSixNy, Ti, Ta, W, Cu, Al, Ag 및 Au로 구성된 군으로부터 선정되는 시스템.
  28. 제 21항에 있어서, 상기 챔버내에 진공을 형성하는 펌프를 더 포함하여, 제 1금속레벨 표면의 휘발성 금속산화물을 상기 챔버로부터 제거하는 시스템.
  29. 제 21항에 있어서, 제 1금속레벨이 구리 및 은으로 구성된 군으로부터 선정되는 시스템.
KR1019980019359A 1997-05-22 1998-05-22 금속산화물을선택적으로제거하여금속표면을자체적으로청정화하는방법,장치및집적회로의장치 KR100317508B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/861,808 US5939334A (en) 1997-05-22 1997-05-22 System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US08/861,808 1997-05-22
US8/861,808 1997-05-22

Publications (2)

Publication Number Publication Date
KR19980087425A true KR19980087425A (ko) 1998-12-05
KR100317508B1 KR100317508B1 (ko) 2002-02-28

Family

ID=25336824

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980019359A KR100317508B1 (ko) 1997-05-22 1998-05-22 금속산화물을선택적으로제거하여금속표면을자체적으로청정화하는방법,장치및집적회로의장치

Country Status (5)

Country Link
US (3) US5939334A (ko)
EP (1) EP0880168A3 (ko)
JP (1) JPH10321594A (ko)
KR (1) KR100317508B1 (ko)
TW (1) TW408385B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020056342A (ko) * 2000-12-29 2002-07-10 박종섭 챔버 내부의 잔류물 제거 방법

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
KR100586481B1 (ko) 1997-09-02 2006-11-30 가부시키가이샤 에바라 세이사꾸쇼 기판을도금하는방법
JP3545177B2 (ja) * 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
TW408443B (en) * 1998-06-08 2000-10-11 United Microelectronics Corp The manufacture method of dual damascene
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6284052B2 (en) * 1998-08-19 2001-09-04 Sharp Laboratories Of America, Inc. In-situ method of cleaning a metal-organic chemical vapor deposition chamber
US6218303B1 (en) * 1998-12-11 2001-04-17 Vlsi Technology, Inc. Via formation using oxide reduction of underlying copper
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US20020072228A1 (en) * 1999-12-15 2002-06-13 Texas A&M University System Semiconductor conductive pattern formation method
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6653243B2 (en) * 2000-05-25 2003-11-25 Micron Technology, Inc. Methods of cleaning surfaces of copper-containing materials, and methods of forming openings to copper-containing substrates
US6261955B1 (en) 2000-07-18 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Application of vapor phase HFACAC-based compound for use in copper decontamination and cleaning processes
KR100673664B1 (ko) * 2000-07-31 2007-01-23 주식회사 하이닉스반도체 반도체 소자의 구리 산화물 제거 방법
US6602653B1 (en) * 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods
KR100671610B1 (ko) * 2000-10-26 2007-01-18 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
DE10059167A1 (de) * 2000-11-29 2002-06-06 Bsh Bosch Siemens Hausgeraete Backofen
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US6730605B2 (en) * 2001-04-12 2004-05-04 Tokyo Electron Limited Redistribution of copper deposited films
US6759081B2 (en) * 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6589882B2 (en) * 2001-10-24 2003-07-08 Micron Technology, Inc. Copper post-etch cleaning process
US6929637B2 (en) * 2002-02-21 2005-08-16 Spiration, Inc. Device and method for intra-bronchial provision of a therapeutic agent
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7241334B2 (en) * 2002-05-23 2007-07-10 Columbian Chemicals Company Sulfonated carbonaceous materials
WO2003100883A2 (en) * 2002-05-23 2003-12-04 Columbian Chemicals Company Conducting polymer-grafted carbon material for fuel cell applications
US7195834B2 (en) * 2002-05-23 2007-03-27 Columbian Chemicals Company Metallized conducting polymer-grafted carbon material and method for making
US7390441B2 (en) * 2002-05-23 2008-06-24 Columbian Chemicals Company Sulfonated conducting polymer-grafted carbon material for fuel cell applications
ATE354856T1 (de) 2002-05-23 2007-03-15 Columbian Chem Sulfoniertes leitungs- graftpolymerkohlenstoffmaterial für brennstoffzellenanwendungen
US7459103B2 (en) 2002-05-23 2008-12-02 Columbian Chemicals Company Conducting polymer-grafted carbon material for fuel cell applications
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
US20050142885A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
WO2004030049A2 (en) * 2002-09-27 2004-04-08 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US6843893B2 (en) * 2002-12-12 2005-01-18 International Business Machines Corporation Metal dry etch using electronic field
US7202166B2 (en) * 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050107274A1 (en) * 2003-10-14 2005-05-19 Jerome Daviot Removal of post etch residues and copper contamination from low-k dielectrics using supercritical CO2 with diketone additives
CN1312745C (zh) * 2003-12-16 2007-04-25 上海华虹(集团)有限公司 一种去除铜籽晶表面氧化膜及增强铜层黏附力的前处理方法
US6958308B2 (en) * 2004-03-16 2005-10-25 Columbian Chemicals Company Deposition of dispersed metal particles onto substrates using supercritical fluids
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US20060068987A1 (en) * 2004-09-24 2006-03-30 Srinivas Bollepalli Carbon supported catalyst having reduced water retention
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
EP1851794A1 (en) * 2005-02-22 2007-11-07 ASM America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7367343B2 (en) * 2006-01-23 2008-05-06 Micron Technology, Inc. Method of cleaning a surface of a cobalt-containing material, method of forming an opening to a cobalt-containing material, semiconductor processing method of forming an integrated circuit comprising a copper-containing conductive line, and a cobalt-containing film cleaning solution
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
JP4579181B2 (ja) * 2006-03-24 2010-11-10 富士通セミコンダクター株式会社 多層配線における配線の還元方法、多層配線の製造方法、並びに、半導体装置の製造方法
KR101061675B1 (ko) * 2006-03-27 2011-09-01 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 기록 매체
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
KR100832704B1 (ko) * 2006-12-21 2008-05-28 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
WO2009125255A1 (en) * 2008-04-11 2009-10-15 Freescale Semiconductor, Inc. Surface treatment in semiconductor manufacturing
US7871935B2 (en) * 2008-04-23 2011-01-18 International Business Machines Corporation Non-plasma capping layer for interconnect applications
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) * 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
JP6529371B2 (ja) * 2015-07-27 2019-06-12 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP2022539027A (ja) * 2019-06-24 2022-09-07 ラム リサーチ コーポレーション 基板表面の蒸気洗浄
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
CN111041502A (zh) * 2019-12-25 2020-04-21 安徽力翔电池科技有限公司 一种无氧铜的清洗工艺
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
WO2022149565A1 (ja) * 2021-01-07 2022-07-14 セントラル硝子株式会社 ウェットエッチング溶液及びウェットエッチング方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2811004B2 (ja) * 1988-05-23 1998-10-15 日本電信電話株式会社 金属薄膜成長方法および装置
US5094701A (en) * 1990-03-30 1992-03-10 Air Products And Chemicals, Inc. Cleaning agents comprising beta-diketone and beta-ketoimine ligands and a process for using the same
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5218303A (en) * 1991-10-11 1993-06-08 Boris Medvinsky Broad span dynamic precious metal assay method by driving electrical pulses through an electrolyte wet junction
JP2885616B2 (ja) * 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
KR0139876B1 (ko) * 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
JP2862797B2 (ja) * 1994-08-11 1999-03-03 日本酸素株式会社 半導体基板の乾式洗浄方法
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
JP3094868B2 (ja) * 1995-09-07 2000-10-03 三菱マテリアル株式会社 高純度誘電体薄膜の作製方法
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US5851367A (en) * 1996-10-11 1998-12-22 Sharp Microelectronics Technology, Inc. Differential copper deposition on integrated circuit surfaces and method for same
US5744192A (en) * 1996-11-08 1998-04-28 Sharp Microelectronics Technology, Inc. Method of using water vapor to increase the conductivity of cooper desposited with cu(hfac)TMVS
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5767301A (en) * 1997-01-21 1998-06-16 Sharp Microelectronics Technology, Inc. Precursor with (alkyloxy)(alkyl)-silylolefin ligand to deposit copper
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6204176B1 (en) * 1998-11-10 2001-03-20 Sharp Laboratories Of America, Inc. Substituted phenylethylene precursor deposition method
US6380091B1 (en) * 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6207577B1 (en) * 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
TW445581B (en) * 1999-03-03 2001-07-11 Taiwan Semiconductor Mfg Manufacturing method of metal interconnect
US6261955B1 (en) * 2000-07-18 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Application of vapor phase HFACAC-based compound for use in copper decontamination and cleaning processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020056342A (ko) * 2000-12-29 2002-07-10 박종섭 챔버 내부의 잔류물 제거 방법

Also Published As

Publication number Publication date
EP0880168A3 (en) 1999-12-15
KR100317508B1 (ko) 2002-02-28
TW408385B (en) 2000-10-11
US6555916B2 (en) 2003-04-29
US20020047144A1 (en) 2002-04-25
EP0880168A2 (en) 1998-11-25
JPH10321594A (ja) 1998-12-04
US5939334A (en) 1999-08-17
US6281589B1 (en) 2001-08-28

Similar Documents

Publication Publication Date Title
KR100317508B1 (ko) 금속산화물을선택적으로제거하여금속표면을자체적으로청정화하는방법,장치및집적회로의장치
KR100279229B1 (ko) Cu의 전도성을 증가시키도록 물을 첨가한 Cu(hfac)TMVS 프리커서 및 그의 형성 방법 및 점착성 Cu 전도체 인터페이스
JP4043785B2 (ja) 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7704879B2 (en) Method of forming low-resistivity recessed features in copper metallization
US20090087981A1 (en) Void-free copper filling of recessed features for semiconductor devices
TWI694501B (zh) 防止銅擴散的介電/金屬阻障集成
US6002176A (en) Differential copper deposition on integrated circuit surfaces
US8310054B2 (en) Semiconductor device manufacturing method and target substrate processing system
US6593236B2 (en) Method of forming a metal wiring in a semiconductor device with copper seed
JPH10195654A (ja) 銅プリカーサ化合物および化学気相成長銅を選択された表面に付与する方法
JP2023182638A (ja) 銅配線のためのシード層
KR100403454B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
KR100346562B1 (ko) 물을 첨가하여 Cu의 도전율을 향상시키는 Cu(hfac)TMVS 전구체
KR100347834B1 (ko) 치환된 페닐에틸렌 전구체의 퇴적방법
KR100662967B1 (ko) 실리사이드를 이용한 반도체 배선 형성방법
US7465652B2 (en) Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
KR20010057734A (ko) 구리막 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101123

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee