KR19980032352A - 클록 스큐 보호를 가진 플립플롭 셀 - Google Patents

클록 스큐 보호를 가진 플립플롭 셀 Download PDF

Info

Publication number
KR19980032352A
KR19980032352A KR1019970044695A KR19970044695A KR19980032352A KR 19980032352 A KR19980032352 A KR 19980032352A KR 1019970044695 A KR1019970044695 A KR 1019970044695A KR 19970044695 A KR19970044695 A KR 19970044695A KR 19980032352 A KR19980032352 A KR 19980032352A
Authority
KR
South Korea
Prior art keywords
flip
input
flop
latch
data
Prior art date
Application number
KR1019970044695A
Other languages
English (en)
Other versions
KR100257208B1 (ko
Inventor
쿠레쉬파잘우르레흐만
펄슨마틴윌리암
Original Assignee
클라크3세존엠
내셔널세미컨덕터코오포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 클라크3세존엠, 내셔널세미컨덕터코오포레이션 filed Critical 클라크3세존엠
Publication of KR19980032352A publication Critical patent/KR19980032352A/ko
Application granted granted Critical
Publication of KR100257208B1 publication Critical patent/KR100257208B1/ko

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K21/00Details of pulse counters or frequency dividers
    • H03K21/40Monitoring; Error detection; Preventing or correcting improper counter operation
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/135Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of time reference signals, e.g. clock signals

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

메인 데이터 입력과, 메인 스캔 데이터 입력과, 메인 데이터 출력 및 메인 클록 입력을 가진 플립플롭 셀. 플립플롭 셀은 제 1 및 제 2 입력과 출력을 가진 멀티플렉서를 포함한다. 제 1 입력은 플립플롭 셀의 메인 데이터 입력에 연결되고, 제 2 입력은 플립플롭 셀의 메인 스캔 데이터 입력에 연결된다 제 1 래치는 데이터 입력과, 데이터 출력 및 반전 클록 입력을 갖는다. 제 1 래치의 데이터 입력은 멀티플렉서의 출력에 연결된다. 제 2 래치는 데이터 입력과, 데이터 출력 및 비반전 클록 입력을 갖는다. 제 2 래치의 데이터 입력은 제 1 래치의 데이터 출력에 연결된다. 제 3 래치는 데이터 입력과, 데이터 출력 및 반전 클록 입력을 갖는다. 제 3 래치의 데이터 입력은 제 2 래치의 데이터 출력에 연결되고, 제 3 래치의 데이터 출력은 플립플롭 셀의 메인 데이터 출력에 연결된다. 제 1 래치의 반전 클록 입력과, 제 2 래치의 비반전 클록 입력 및, 제 3 래치의 반전 클록 입력은 모두 플립플롭 셀의 메인 클록 입력에 연결된다.

Description

클록 스큐 보호를 가진 플립플롭 셀
본 발명은 일반적으로 디지털 플립플롭에 관한 것이며, 특히, 클록 스큐로부터의 이뮤니티를 제공하도록 구성된 플립플롭에 관한 것이다.
클록 스큐는 예를 들면, 비동기 환경에서, 다수의 플립플롭과 다수의 클록을 가진 디지털 회로의 구성에서 중요한 문제이다. 플립플롭의 개수 및/또는 클록의 개수가 증가할 때, 스큐의 가능성은 문제가 된다. 둘이상의 클록은 예를 들면, 클록들이 둘이상의 특정 클록들로부터 얻어지거나 클록들이 동일한 클록에 대한 다른 분배 회로로부터 발생되는 것을 포함한 많은 이유로, 클록들사이에 스큐를 가질 수 있다. 이러한 이유에서도, 스큐는 흔히 실제 실리콘 동작과 예상되는 실리콘 동작사이의 상호관계의 부족을 유발한다.
클록 스큐의 파괴적인 효과는 예에 의해 가장 잘 나타난다. 도 1을 참조로, 플립플롭 A 의 출력 Q 은 플립플롭 B 의 D 입력에 접속된다. 플립플롭 A 는 클록 X를 수신하고, 플립플롭 B 는 클록 Y를 수신한다. 도 2 는 이상적인 조건하에서, 즉, 클록 X 와 Y 사이에 스큐가 없을 때, 플립플롭 A 와 B 의 동작을 나타낸다. 플립플롭 A 와 B 는 상승 에지 트리거된 D 플립플롭이기 때문에, 플립플롭 A 의 출력은 클록 X 의 상승에지 (20) 에 응답하여 하이 (28) 가 되고, 플립플롭 B 의 출력은 클록 Y 의 상승에지 (22) 에 응답하여 하이 (30) 가 된다. 도 2 에 도시된 계획안에서, 플립플롭 A 의 출력 데이터는 하나의 클록 주기후에 플립플롭 B 에 전송된다. 예를 들면, 플립플롭 A 의 출력이 상승 에지 (24) 에 응답하여 로우 (32) 가 될 때, 플립플롭 B 의 출력은 하나의 클록 주기후에 상승에지 (26) 에 응답하여 로우 (34) 가 된다. 다시 말해서, 플립플롭 B 는 플립플롭 A 의 이전의
값을 취하고, 플립플롭 A 는 새로운 상태를 취한다. 이는 플립플롭 A 와 B 모두가 그의 각각의 클록의 상승에지에서 동시에 업데이트되기 때문이다.
도 3 은 클록 X 와 Y 사이에 스큐가 있을 때 플립플롭 A 와 B 의 동작을 나타낸다. 이 계획안에서, 플립플롭 B 는 플립플롭 A 의 이전의값을 취했던것과 반대로 플립플롭 A 의 새로운 값을 취한다. 특히, 플립플롭 A 의 출력은 클록 X 의 상승에지 (38) 에 응답하여 하이 (36) 가 되고, 플립플롭 B 의 출력은 클록 Y 의 상승 에지 (42) 에 응답하여 하이 (40) 가 된다. 클록 X 와 Y 사이의 스큐 (44) 는 클록 Y 의 상승에지 (42) 가 플립플롭 A 의 출력이 이미 하이 (36) 가 된후에 플립플롭 B를 트리거하도록 한다. 플립플롭 A 의 출력이 플립플롭 B 의 입력이기 때문에, 플립플롭 B 의 출력 (40) 은 상승에지 (42) 에 응답하여, 플립플롭 A 의 새로운 값 (36) 이다. 다시 말해서, 클록 X 와 Y 사이의 스큐 (44) 때문에, 데이터는 플립플롭 A를 통과한다.
클록 스큐의 존재 및/또는 양은 예상될수 없기 때문에, 이러한 스큐는 플립플롭 회로의 동작을 예상할 수 없게 한다. 도 1 은 플립플롭 B 의 D 입력에 접속되어 있는 플립플롭 A 의 Q 출력을 도시하지만, 이는 스큐가 플립플롭 A 와 B 사이의 어느 다른 형태의 접속에 대해 유사한 파괴적인 효과를 갖는 것으로 이해해야 한다. 예를 들면, 플립플롭 A 의 Q 또는 Q_ 출력이 플립플롭 B 의 스캔 데이터 입력, 비동기 세트 또는 비동기 리세트에 접속되면, 클록 X 와 Y 사이의 스큐는 유사하게 플립플롭 B 의 출력을 예상할 수 없게 한다. 또한, 클록 스큐와 관련된 문제는 도 1 에 도시된 D 플립플롭의 특정 형태에만 있는 아니다. 특히, 플립플롭 A 와 B 는 플립플롭이 쉬프트레지스터로서 동작하도록 하는 D 입력으로 다중송신된 제 2 스캔 데이터 입력을 포함하는 소위 스캔 플립플롭으로 불린다. 플립플롭이 세트 및/또는 리세트 기능을 포함하든지 그렇지 않든지, 스캔 플립플롭이든지 또는, D 플립플롭이든지, 스큐는 어떤 형태의 플립플롭의 동작에도 부정적인 영향을 미칠 수 있다.
예를 들면, 다중송신된 D 플립플롭 배열을 가진 정상 스캔 플립플롭은 여기서 SFFD 로 언급되고, 비동기 세트 기능을 가진 정상 스캔 플립플롭은 여기서 SFFDS 로 언급되고, 비동기 리세트 기능을 가진 정상 스캔 플립플롭은 여기서, SFFDR 로 언급되고, 비동기 세트 및 리세트 기능을 가진 정상 스캔 플립플롭은 여기서 SFFDRS 로 언급된다. 표 1 은 클록 스큐가 문제를 발생시킬 수 있는 플립플롭 A 와 B 사이의 많은 접속을 요약한 것이다.
플립플롭 A 의 출력 플롭플롭 B 의 형태 플리플롭 B 의 입력과 접속
데이터 출력 SFFD 정상 데이터
스캔 데이터
데이터 출력 SFFDS 정상 데이터
스캔 데이터
비동기 세트
데이터 출력 SFFDR 정상 데이터
스캔 데이터
비동기 리세트
데이터 출력 SFFDRS 정상 데이터
스캔 데이터
비동기 리세트 및 세트
표 1 은 스캔 플립플롭들사이에서만 접속을 취급한다. 클록 스큐는 또한 유사한 접속을 가진 논스캔 플립플롭에 대한 문제이다.
스큐가 스캔 플립플롭의 동작에 파괴적인 영향을 가질 수 있는 하나의 특정 예가 도 4 에 도시되어 있다. 집적회로의 테스팅은 집적회로의 전체 가격의 상당한 부분이 되는 것으로 잘 알려져 있다. 그러므로, 이러한 테스팅을 간단하게 할 수 있는 기술은 제조 비용을 감소시키는 것을 도울수 있다. 도 4 는 테스트 형태구성 또는 DFT 를 가질 때 자주 언급된다. 스캔 플립플롭은 DFT 를 실시하기 위해 이러한 회로에서 때때로 사용되었다.
집적 회로 (45) 는 로직 회로 (46, 47)를 포함한다. 집적 회로 (45) 의 제조 프로세스가 완료된 후에, 로직 회로 (46, 47)를 테스트하는 것이 바람직하거나 또는 필요하다. 로직 회로 (46, 47) 에서 회로소자들이 절연되어 있으면, 로직 회로들은 한 세트의 입력을 제공하고, 정확한 출력 데이터가 발생한다는 것을 증명함으로써 쉽게 테스트될 수 있다. 그러나, 입력과 출력에 직접 액세스하는 것이 불가능하도록, 로직 회로 (46, 47) 는 집적회로 (45) 내에 매립되어 있으므로, 로직 회로 (46, 47) 의 테스트를 어렵게 한다.
로직 회로 (46, 47)를 테스트하는 한가지 방법을 제공하기 위해, 테스트값들을 증명하기 위해 회로 (45)외부의 플립플롭들의 현재 내용을 쉬프트하는 것은 물론, 테스트 값들을 회로 (45) 로 쉬프트하는 종래의 스캔 플립플롭 (48, 49) 을 사용하는 것이 기술상 잘 알려져 있다. 예를 들면, 플립플롭 (48)을 사용함으로써, 로직 회로 (47) 의 입력에 대한 테스트값은 스캔 입력 A 내로 쉬프트될 수 있으며, 로직 회로 (46) 의 현재 출력값은 스캔 출력 A 외부로 쉬프트될 수 있다. 플립플롭 (49)을 사용하여, 로직 회로 (47) 의 현재 출력값은 스캔 B 외부로 쉬프트될 수 있고 다음 로직 회로 (미도시) 의 입력에 대한 테스트값 스캔 입력 B 내로 쉬프트될 수 있다 이러한 쉬프트 동작은 스캔 쉬프트 동작으로 언급된다. 그러므로, 논스캔 플립플롭보다 오히려, (쉬프팅 기능을 가진) 스캔 플립플롭 (48, 49)을 사용함으로써, 집적 회로 (45) 는 테스팅 즉, 스캔 DFT을 위해 구성되었다.
스캔 DFT를 실행하기 위한 이상적인 환경은 단순한 계산 환경, 즉, 사실상 동기인 하나의 클록이다. 그러나, 실제적인 경우에, 스캔-DFT 은 때때로 비계산 환경, 즉, 실제로 비동기인 다수의 클록에서 실행된다. 이는 도 4 에 도시되어 있는 계획안이며, 이는 클록 스큐가 문제가 되는 환경이다.
클록 A, B 및 C 는 독립적인 클록이다. 이들중 어느것 사이에 스큐가 존재하면, 스캔 쉬프트 동작은 도 3 에 대해 상기 설명된 방식으로 파괴될 수 있다. 다시 말해서, 연이은 어떤 플립플롭 (48, 49) 은 바로앞의 선행하는 플립플롭의 새로운값을 취한다. 이는 스캔 출력 A 와 B에서 부정확하고 무의미한 데이터를 발생시켜서, DFT 의 목적에 어긋난다.
스큐 문제를 해결하기 위한 애드-혹 (ad-hoc) 시도가 있었지만, 애드-혹은 일반적으로 강한 실리콘이었으며 제한된 보호를 제공했다. 이러한 시도는 또한 어떤 양의 스큐 보호를 제공하는 지연 신호를 포함한다. 스큐 보호의 양은 지연의 양에 다소 비례하는 경향이 있는데, 즉, 지연을 제공하기 위해 사용되는 실리콘의 양이 많을수록, 얻어지는 스큐 보호는 많다. 다수의 클록을 가진 환경에서, 실리콘 비용, 즉, 다이 영역은 매우 높을 수 있다. 그러므로, 스큐 보호와 다이 영역은 절충되어야 이루어져야 하고, 이러한 절충에서 일반적으로, 선택된 양의 스큐 보호가 충분한지 아닌지는 어느 정도 불확실하다.
그러므로, 플립플롭 회로상의 클록 스큐에 의해 발생되는 문제를 해결하는 장치와 방법이 필요하다.
도 1 은 두 개의 종래의 플립플롭을 도시한 모형도.
도 2 는 클록 스큐가 없을 때 도 1 에 도시된 플립플롭의 동작을 나타내는 타이밍도.
도 3 은 클록 스큐가 있을 때 도 1 에 도시된 플립플롭의 동작을 나타내는 타이밍도.
도 4 는 테스트 (DFT) 회로에 대한 종래의 구성을 나타낸 모형도.
도 5 는 본 발명에 따른 플립플롭을 도시한 모형도.
도 6 은 도 5 에 도시된 플립플롭의 동작을 도시한 타이밍도.
도 7 은 본 발명에 따른 또다른 플립플롭을 도시한 상세한 모형도.
도 8 은 도 7 에 도시된 플립플롭의 동작을 도시한 타이밍도.
도 9 는 도 5 에 도시된 플립플롭을 도시한 상세한 모형도.
*도면의 주요부분에 대한 부호의 설명*
45 : 집적 회로 46, 47 : 로직 회로
50, 80 : 플립플롭셀 52 : 래치
82 : 제 1 래치 84 : 제 2 래치
86 : D 플립플롭 88 : 제 3 래치
114 : 래치 116 : 멀티플렉서
본 발명은 메인 데이터 입력과, 메인 데이터 출력 및, 메인 입력 클록을 가진 플립플롭 셀을 제공한다. 플립플롭 셀은 데이터 입력과, 데이터 출력 및 반전 클록 입력을 가진 제 1 래치를 포함한다. 제 1 래치의 데이터 입력은 플립플롭 셀의 메인 데이터 입력에 연결된다. 제 2 래치는 데이터 입력과 데이터 출력 및, 비반전 클록 입력을 갖는다. 제 2 래치의 데이터 입력은 제 1 래치의 데이터 출력에 연결된다. 제 3 래치는 데이터 입력과 데이터 출력 및, 반전 클록 입력을 갖는다. 제 3 래치의 데이터 입력은 제 2 래치의 데이터 출력에 연결되고, 제 3 래치의 데이터 출력은 플립플롭 셀의 메인 데이터 출력에 연결된다. 제 1 래치의 반전 클록 입력과, 제 2 래치의 비반전 클록 입력 및, 제 3 래치의 반전 클록 입력은 모두 플립플롭 셀의 메인 클록 입력에 연결된다.
본 발명은 또한 메인 데이터 입력과, 메인 데이터 출력 및 메인 클록 입력을 가진 플립플롭 셀을 포함한다. 플립플롭셀은 데이터 입력과, 데이터 출력 및, 클록 입력을 가진 플립플롭을 포함한다. 플립플롭 데이터 입력은 플립플롭 클록 입력에 대한 메인 데이터 입력을 형성하고, 플립플롭 클록 입력은 플립플롭 셀에 대한 메인 클록 입력을 형성한다. 플립플롭은 플립플롭 데이터 입력상의 데이터와 플립플롭 셀에서 수신된 클록의 제 1 상승에지에 응답하여 트리거된다. 데이터 입력과, 데이터 출력 및, 반전 클록 입력을 가진 래치는 플립플롭의 데이터 출력에 연결된 그의 데이터 입력을 갖는다. 래치의 반전 클록 입력은 플립플롭의 클록 입력에 연결되고, 래치의 데이터 출력은 플립플롭 셀에 대한 메인 데이터 출력을 형성한다. 래치는 플립플롭 데이터 출력상의 데이터와 플립플롭 클록 입력에서 수신된 제 1 상승에지가 즉시 뒤따르는, 클록의 제 1 하강에지에 응답하여 트리거된다.
본 발명은 또한 테스트 형태를 위한 구성을 가진 회로를 제공한다. 이 회로는 메인 데이터 입력과, 메인 스캔 데이터 입력과, 메인 데이터 출력 및, 메인 클록 입력을 각각 가진 복수의 플립플롭을 포함한다. 플립플롭은 인접한 플립플롭의 메인 스캔 데이터 입력에 연결된 각각의 플립플롭의 메인 데이터 출력과 연이어서 직렬로 정렬되어 있다. 각각의 플립플롭은 직렬로 연결된 제 1, 제 2 및 제 3 래치를 포함하고, 제 2 래치는 플립플롭 메인 클록 입력에서 수신된 클록의 제 1 상승에지에 응답하여 트리거되며, 제 3 래치는 플립플롭 메인 클록 입력에서 수신된 클록의 제 1 상승에지가 즉시 뒤따르는 제 1 하강에지에 응답하여 트리거된다. 제 1 래치의 데이터 입력은 플립플롭의 메인 데이터 입력에 연결되며 제 3 래치의 데이터 출력은 플립플롭의 메인 데이터 출력에 연결된다.
본 발명은 또한 플립플롭 기능을 수행하는 방법을 제공한다. 이 방법은 메인 데이터 입력에서 데이터 비트를 수신하는 단계와, 메인 클록 입력에서 클록 신호를 수신하는 단계와, 클록 신호의 제 1 하강에지에서 제 1 래치로 데이터 비트를 래치하는 단계와, 제 1 하강에지가 즉시 뒤따르는 클록신호의 제 1 상승에지에서 제 2 래치로 제 1 래치의 출력을 래치하는 단계와, 제 1 상승에지가 즉시 뒤따르는 클록 신호의 제 2 하강에지에서 제 3 래치로 제 2 래치의 출력을 래치하는 단계 및, 제 3 래치의 출력을 메인 데이터 출력에 전송하는 단계를 포함한다.
본 발명은 또한 데이터 입력과, 데이터 출력 및, 클록 입력을 가진 플립플롭에서 스큐 보호를 제공하는 방법을 제공한다. 이 방법은 플립플롭의 데이터 출력을 래치의 입력에 연결하는 단계와, 플립플롭의 클록 입력을 래치의 클록 입력에 연결하는 단계와, 플립플롭의 클록 입력에서 수신된 클록 신호의 제 1 상승에지에서 플립플롭의 데이터 출력에 있는 데이터 비트를 발생시키는 단계와, 제 1 상승에지가 즉시 뒤따르는 클록 신호의 제 1 하강에지에서 래치로 데이터 비트를 래치하는 단계 및, 플립플롭의 출력에 대체하여 래치의 출력을 제공하는 단계를 포함한다.
본 발명의 특성과 이점은 본 발명의 이론이 사용되는 예시된 실시예를 설명한 발명의 상세한 설명과 첨부된 도면을 참조로 더 잘 이해될 수 있을 것이다.
도 5를 참조로, 본 발명에 따른 플립플롭 셀 (50) 이 도시되어 있다. 셀 (50) 은 비용면에서 효과적이며 균일하고/정연한 방식으로 스큐로부터의 이뮤니티를 제공하도록 구성되었다. 셀 (50) 은 스캔 데이터 입력과, 세트 및/또는 리세트 기능을 포함하거나 포함하지 않을 수 있으며, 스큐 이뮤니티는 물론 교체된 플립플롭과 같은 기능을 제공하기 위해, 교체셀 즉, 종래의 플립플롭을 교체하는 것으로서 사용될 수 있다. 스큐 이뮤니티의 클록 주기의 절반이 제공된다. 이것의 하나의 이점은 사용자가 다른 주파수에서 시스템을 구동시키는 선택을 한다면, 스큐 이뮤니티의 반주기는 사용자가 선택하는 것만큼 크거나 작을수 있다.
플립플롭 셀 (50) 은 플립플롭 A 와 래치 (52) 와 같은 종래의 플립플롭을 포함한다. 래치 (52) 는 플립플롭 A을 구동시키는 데에 사용되는 동일한 클록, 이경우에, 클록 X 에 접속되고, 플립플롭 A의 데이터 출력에 접속된다. 래치 (52) 의 출력은 플립플롭 A 에 대한 새로운 데이터 출력이다. 래치 (52) 는 클록 X 의 하강에지에서 트리거된다.
도 6 은 도 1에서 플립플롭 셀이 플립플롭 A을 교체하기 위해 사용될 때의 플립플롭 셀 (50) 의 동작을 도시한다. 다시, 클록 X 와 Y 는 둘사이에 어떤 양의 스큐 (44)를 갖는다. 플립플롭 A 의 이전 데이터 출력은 클록 X 의 상승에지 (38) 에 응답하여 하이 (36) 가 된다. 플립플롭 A 의 새로운 데이터 출력, 즉, 래치 (52) 의 출력은 클록 X 의 하강에지까지 하이 (54) 가 되지 않는다. 이는 플립플롭 B 의 입력 즉, 플립플롭 A 의 새로운 데이터 출력이 클록 Y 의 상승에지 (42) 에서 로우 (58) 로 남아있다는 것을 의미한다. 이는 플립플롭 B 의 출력이 클록 Y 의 상승에지 (42) 에 응답하여 하이가 되지 못하게 한다. 그 대신, 플립플롭 B 의 출력은 클록 Y 의 상승에지 (60) 에 응답하여 하이 (62) 가 된다. 그러므로, 이전의 데이터 출력으로부터의 플립플롭 A 의 출력 데이터는 하나의 클록 주기 이후에 플립플롭 B 로 정확하고 예상할 수 있게 전송된다.
플립플롭 A 의 이전의 데이터 출력은 클록 X 의 상승에지 (66) 에 응답하여 로우 (64) 가 된다. 이는 플립플롭 A 의 새로운 데이터 출력 즉, 래치 (52) 의 출력이 뒤따르며, 클록 X 의 다음 하강에지 (68) 에서 로우 (70) 가 된다. 플립플롭 B 의 입력, 즉, 플립플롭 A 의 새로운 데이터 출력은 클록 Y 의 상승에지 (74)에서 하기 (72) 이기 때문에, 플립플롭 B 의 출력은 상승에지 (74) 후에 하이로 남아있다. 그러므로, 플립플롭 B 은 플립플롭 A 의 이전의값을 취하고, 플립플롭 A 는 새로운 상태를 취한다. 마지막으로, 하나의 클록주기후에, 클록 Y 의 상승에지 (76) 상에서, 플립플롭 B 의 출력은 그의 입력 즉, 플립플롭 A 의 데이터 출력이 로우이기 때문에 로우 (78) 가 된다.
플립플롭 셀 (50) 은 클록 X 와 Y 사이의 스큐 (44) 에도 불구하고 정상/예기 동작을 제공한다. 플립플롭 A 의 새로운 데이터 출력이 클록 X 의 하강에지 (56) 까지 하이 (54) 가 되지 않기 때문에, 제공되는 스큐 이뮤니티의 양은 클록 주기 (또는, 클록 사이클), 즉, 클록 X 의 상승에지 (38) 와 클록 X 의 하강에지 (56) 사이의 시간주기의 절반과 같다.
도 7을 참조로, 본 발명에 따른 플립플롭 셀 (80) 의 상세한 개략도가 도시되어 있다. 플립플롭 셀 (80) 은 스캔 데이터 특징이 생략된 것만 제외하면 플립플롭 셀 (50) 과 기본적으로 동일하다. 다시, 본 발명의 기술은 D 플립플롭, 스캔 플립플롭 및, 세트 및/또는 리세트 기능을 가진 플립플롭등으로 제한되지 않은 임의의 형태의 플립플롭에 적용되는 것으로 이해되어야 한다. 본 발명에 따라서, 래치는 스큐 이뮤니티의 반주기를 제공하기 위해 이러한 플립플롭의 출력에 더해진다.
두 개의 래치 (82, 84) 는 종래의 D 플립플롭 (86)을 형성한다. 제 1 래치 (82) 는 클록 Z 의 하강에지에서 트리거되고, 제 2 래치 (84) 는 클록 Z 의 상승에지에서 트리거된다. 본 발명에 따라서, 제 3 래치 (88) 가 포함된다. 제 3 래치 (88) 는 클록 Z 의 상승에지에서 트리거된다.
도 8 은 플립플롭 셀 (80) 의 동작을 도시한다. 초기에, 입력 D1은 하이 (89) 가 된다. 출력 Q1은 클록 Z 의 상승에지 (92) 에 응답하여 하이 (90) 가 된다. 결과적으로, 출력 Q2은 클록 Z 의 상승에지 (96) 에 응답하여 하이가 되고, 출력 Q3은 클록 Z 의 하강에지 (100) 에 응답하여 하이 (98) 가 된다. 입력 D1이 로우 (102) 가 되면, 출력 Q1은 클록 Z 의 다음 하강에지 (100) 에서 응답하여 로우 (106) 가 되고, 출력 Q3은 클록 Z 의 하강에지 (112) 에 응답하여 로우 (110) 가 된다.
도 9를 참조로, 플립플롭 셀 (50) 의 상세한 모형도가 도시되어 있다. 특히, 두 개의 입력을 가진 멀티플렉서 (116) 는 그의 출력이 래치 (114) 에 연결되어 있다. 멀티플렉서 (116) 의 입력들중 하나는 D 입력으로 사용하고, 다른 입력은 스캔 데이터 입력 SI 으로 사용한다. 제어입력 TE 은 데이터를 래치 (114) 의 데이터 입력으로 보내기 위해 멀티플렉서 (116) 의 SI 입력 또는 D 입력중의 하나를 선택하기 위해 사용된다.
본 발명의 플립플롭 셀 (50) 에 대한 하나의 특히 유리한 응용은 DFT 회로 (45) (도 4)에 적용된다. 특히, 플립플롭 셀 (50) 은 집적 회로 (45)에서 스캔 플립플롭 (48, 49) 의 모두 또는 일부를 교체하기 위해 사용될 수 있다. 이는 클록 A, B 및 C 사이의 스큐에 의해 초래되는 스캔 쉬프트 동작에 역행하는 영향을 방지한다.
일반적으로, 종래의 플립플롭들사이의 연결이 스큐문제에 부닥칠때마다, 종래의 플립플롭들은 플립플롭 셀 (50, 80) 중의 하나로 교체될 수 있다. 다시, 본 발명에 따른 플립플롭 회로는 스캔 또는 논스캔 플립플롭일수 있으며, 세트 및/또는 리세트 기능을 포함하거나 포함하지 않을 수 있다. 플립플롭 회로 (50, 80) 는 원래의 교체된 플롭플롭과 동일한 기능을 제공하고, 부가적으로, 스큐 이뮤니티의 절반 사이클을 제공한다.
플립플롭 셀 (50, 80)내에 래치 (52, 88)를 포함하는 것은 각각 상기 언급된 스큐 문제를 해결하기 위해 애드혹 시도보다 적은 실리콘 다이 영역을 필요로 한다. 예를 들면, 표 2 는 스큐 보호가 없는 종래의 스캔 플립플롭과, 상기 설명된 애드혹 해결책중 하나를 사용하여 약 4ns 의 스큐 보호를 가진 스캔 플립플롭 및, 본 발명의 스캔 플립플롭 (50) 의 여러 변형에 대해서 셀 영역에서 측정된 증가를 요약한 것이다. 표 2에서 알수 있듯이, 본 발명의 셀의 상부 영역은 상당히 감소한다.
플립플롭 A 의 형태 애드혹 해결을 사용한 4ns 지연에 대한 영역 증가 본 발명의 새로운 셀 (50) 의 영역 증가
SFFD 88% 의 증가 36% 의 증가
SFFDS 73% 의 증가 30% 의 증가
SFFDR 80% 의 증가 33% 의 증가
SFFDRS 60% 의 증가 24% 의 증가
그러므로, 본 발명의 플립플롭 셀 (50, 80) 은 클록 스큐 문제에 대해 더욱 값싸고 더 신뢰성있는 해결책을 제공한다.
여기 설명된 본 발명의 실시예에 대한 여러 대체물이 본 발명의 실시시 사용될 수 있는 것으로 이해해야 한다. 하기의 청구항에 의해 본 발명의 범위는 제한되며, 이러한 청구항의 범위내의 구성과 방법 및 그의 등가물이 본 발명에 포함된다.
본 발명에 따라서, 플립플롭 회로는 원래의 교체된 플롭플롭과 동일한 기능을 제공하고, 부가적으로, 스큐 이뮤니티의 절반 사이클을 제공한다.

Claims (18)

  1. 메인 데이터 입력과, 메인 데이터 출력 및 메인 클록 입력을 가진 플립플롭 셀에 있어서,
    데이터 입력과, 데이터 출력 및 반전 클록 입력을 가진 제 1 래치로서, 그의 데이터 입력이 플립플롭 셀의 메인 데이터 입력에 연결되어 있는 제 1 래치와,
    데이터 입력과, 데이터 출력 및 비반전 클록 입력을 가진 제 2 래치로서, 그의 데이터 입력이 상기 제 1 래치의 데이터 출력에 연결되어 있는 제 2 래치 및,
    데이터 입력과, 데이터 출력 및 반전 클록 입력을 가진 제 3 래치로서, 그의 데이터 입력이 상기 제 2 래치의 데이터 출력에 연결되어 있으며, 그의 데이터 출력은 플립플롭 셀의 메인 데이터 출력에 연결되어 있는 제 3 래치를 구비하며,
    제 1 래치의 반전 클록 입력과, 제 2 래치의 비반전 클록 입력 및, 제 3 래치의 반전 클록 입력은 플립플롭 셀의 메인 클록 입력에 모두 연결되는 것을 특징으로 하는 플립플롭 셀.
  2. 제 1 항에 있어서,
    제 1 및 제 2 입력과 출력을 가지며, 출력은 제 1 래치의 데이터 입력에 연결되고, 제 1 입력은 플립플롭 셀의 메인 데이터 입력에 연결되어 있는 멀티플렉서를 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  3. 제 2 항에 있어서, 상기 멀티플렉서의 제 2 입력에 연결된 메인 스캔 데이터 입력과,
    상기 제 1 래치의 데이터 입력에 데이터를 보내기 위해 멀티플렉서의 제 1 및 제 2 입력중의 하나를 선택하도록 구성된 제어 입력을 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  4. 메인 데이터 입력과, 메인 데이터 출력 및, 메인 클록 입력을 가진 플립플롭 셀에 있어서,
    데이터 입력과, 데이터 출력 및, 클록 입력을 가진 플립플롭으로서, 플립플롭 데이터 입력은 플립플롭 셀에 대한 메인 데이터 입력을 형성하며, 플립플롭 클록 입력은 플립플롭 셀에 대한 메인 클록 입력을 형성하며, 상기 플립플롭은 플립플롭 데이터 입력상의 데이터와 플립플롭 클록 입력에서 수신된 클록의 제 1 상승에지에 응답하여 트리거되는 플립플롭과,
    데이터 입력과, 데이터 출력 및 반전 클록 입력을 가진 래치로서, 래치의 데이터 입력은 플립플롭의 데이터 출력에 연결되며, 래치의 반전 클록 입력은 플립플롭의 클록 입력에 연결되며, 래치의 데이터 출력은 플립플롭 셀에 대한 메인 데이터 출력을 형성하며, 플립플롭 클록 입력에서 수신된 제 1 상승에지가 즉시 뒤따르는 클록의 제 1 하강에지와 플립플롭 데이터 출력상의 데이터에 응답하여 트리거되는 래치를 구비하는 것을 특징으로 하는 플립플롭 셀.
  5. 제 4 항에 있어서,
    제 1 및 제 2 입력과 출력을 가지며, 출력이 플립플롭의 데이터 입력에 연결되며, 제 1 입력이 플립플롭 셀의 메인 데이터 입력에 연결되어 있는 멀티플렉서를 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  6. 제 5 항에 있어서, 상기 멀티플렉서의 제 2 입력에 연결된 메인 스캔 데이터 입력과,
    플립플롭의 데이터 입력에 데이터를 보내기위해 멀티플렉서의 제 1 및 제 2 입력중의 하나를 선택하도록 구성된 제어 입력을 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  7. 메인 입력과, 메인 스캔 데이터 입력과, 메인 데이터 출력 및, 메인 클록 입력을 가진 플립플롭 셀에 있어서,
    제 1 및 제 2 입력과 출력을 가지며, 제 1 입력은 플립플롭 셀의 메인 데이터 입력에 연결되며, 제 2 입력은 플립플롭 셀의 메인 스캔 데이터 입력에 연결되는 멀티플렉서와,
    데이터 입력과, 데이터 출력 및 반전 클록 입력을 가진 제 1 래치로서, 제 1 래치의 데이터 입력은 멀티플렉서의 출력에 연결되는 제 1 래치와,
    데이터 입력과, 데이터 출력 및 비반전 클록 입력을 가진 제 2 래치로서, 제 2 래치의 데이터 입력은 제 1 래치의 데이터 출력에 연결되는 제 2 래치 및,
    데이터 입력과, 데이터 출력 및 반전 클록 입력을 가진 제 3 래치로서, 제 3 래치의 데이터 입력은 제 2 래치의 데이터 출력에 연결되며, 제 3 래치의 데이터 출력은 플립플롭 셀의 메인 데이터 출력에 연결되는 제 3 래치를 구비하며,
    제 1 래치의 반전 클록 입력과, 제 2 래치의 비반전 클록 입력 및 제 3 래치의 반전 클록 입력은 모두 플립플롭 셀의 메인 클록 입력에 연결되는 것을 특징으로 하는 플립플롭 셀.
  8. 제 7 항에 있어서, 상기 제 1 래치의 데이터 입력에 데이터를 보내기 위해 멀티플렉서의 제 1 및 제 2 입력중의 하나를 선택하기위해 구성된 제어 입력을 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  9. 제 7 항에 있어서, 상기 플립플롭 셀의 메인 데이터 출력 세트하기위해 구성된 세트 입력을 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  10. 제 7 항에 있어서, 상기 플립플롭 셀의 메인 데이터 출력을 리세트하기위해 구성된 리세트 입력을 추가로 구비하는 것을 특징으로 하는 플립플롭 셀.
  11. 테스트 형태용 구성을 가진 회로에 있어서,
    메인 데이터 입력과, 메인 스캔 데이터 입력과, 메인 데이터 출력 및 메인 클록 입력을 각각 가지며, 인접한 플립플롭의 메인 스캔 데이터 입력에 연결된 각각의 플립플롭의 메인 데이터 출력과 연이어서 직렬로 정렬된 복수의 플립플롭을 포함하며,
    각각의 플립플롭은 직렬로 연결된 제 1, 제 2 및 제 3 래치를 포함하며, 연상기 제 2 래치는 플립플롭 메인 클록 입력에서 수신된 클록의 제 1 상승에지에 응답하여 트리거되며, 상기 제 3 래치는 플립플롭 메인 클록 입력에서 수신된 클록의 제 1 상승에지가 즉시 뒤따르는 제 1 하강에지에 응답하여 트리거되며, 상기 제 1 래치의 데이터 입력은 플립플롭의 메인 데이터 입력에 연결되며, 제 3 래치의 데이터 출력은 플립플롭의 메인 데이터 출력에 연결되는 것을 특징으로 하는 회로.
  12. 제 11 항에 있어서, 각각의 플립플롭은 제 1 및 제 2 입력과 출력을 가진 멀티플렉서를 추가로 구비하며, 상기 출력은 제 1 래치의 데이터 입력에 연결되며, 상기 제 1 입력은 플립플롭의 메인 데이터 입력에 연결되며, 상기 제 2 입력은 플립플롭의 메인 스캔 대이터 입력에 연결되는 것을 특징으로 하는 회로.
  13. 제 12 항에 있어서, 각각의 플립플롭은 제 1 래치의 데이터 입력에 데이터를 보내기 위해 멀티플렉서의 제 1 및 제 2 입력중의 하나를 선택하기 위해 구성된 제어 입력을 추가로 구비하는 것을 특징으로 하는 회로.
  14. 플립플롭 기능을 수행하는 방법에 있어서,
    메인 데이터 입력에서 데이터 비트를 수신하는 단계와,
    메인 클록 입력에서 클록 신호를 수신하는 단계와,
    클록 신호의 제 1 하강에지에서 제 1 래치로 데이터 비트를 래치하는 단계와,
    제 1 하강에지가 즉시 뒤따르는 클록 신호의 제 1 상승에지에서 제 2 래치로 제 1 래이의 출력을 래치하는 단계와,
    제 1 상승에지가 즉시 뒤따르는 클록 신호의 제 2 하강에지에서 제 3 래치로 제 2 래치의 출력을 래치하는 단계 및,
    제 3 래치의 출력을 메인 데이터 출력에 전송하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서,
    메인 스캔 데이터 입력에서 데이터 비트를 수신하는 단계와,
    메인 스캔 데이터 입력으로 메인 데이터 입력을 다중전송하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 메인 데이터 입력과 메인 스캔 데이터 입력사이에서 선택하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  17. 데이터 입력과, 데이터 출력 및 클록 입력을 가진 플립플롭에서 스큐 보호를 제공하는 방법에 있어서,
    플립플롭의 데이터 출력을 래치의 입력에 연결시키는 단계와,
    플립플롭의 클록 입력을 래치의 클록 입력에 연결시키는 단계와,
    플립플롭의 클록 입력에서 수신된 클록 신호의 제 1 상승 에지에서 플립플롭의 데이터 출력에 있는 데이터 비트를 발생시키는 단계와,
    제 1 상승에지가 즉시 뒤따르는 클록 신호의 제 1 하강에지에서 래치로 데이터 비트를 래치하는 단계 및,
    플립플롭의 출력에 대체하여 래치의 출력을 제공하는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서, 상기 플립플롭은 스캔 데이터 입력을 구비하는 것을 특징으로 하는 방법.
KR1019970044695A 1996-10-09 1997-08-30 클록 스큐 보호를 가진 플립플롭 셀 KR100257208B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/727,289 1996-10-09
US08/727,289 US5774003A (en) 1996-10-09 1996-10-09 Flip-flop cell having clock skew protection

Publications (2)

Publication Number Publication Date
KR19980032352A true KR19980032352A (ko) 1998-07-25
KR100257208B1 KR100257208B1 (ko) 2000-05-15

Family

ID=24922076

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970044695A KR100257208B1 (ko) 1996-10-09 1997-08-30 클록 스큐 보호를 가진 플립플롭 셀

Country Status (3)

Country Link
US (1) US5774003A (ko)
KR (1) KR100257208B1 (ko)
DE (1) DE19736788C2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604904B1 (ko) * 2004-10-02 2006-07-28 삼성전자주식회사 스캔 입력을 갖는 플립 플롭 회로

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3000961B2 (ja) * 1997-06-06 2000-01-17 日本電気株式会社 半導体集積回路
JPH11142477A (ja) * 1997-11-06 1999-05-28 Fujitsu Ltd 半導体集積回路
US6150861A (en) * 1998-01-09 2000-11-21 Texas Instruments Incorporated Flip-flop
US6182256B1 (en) * 1998-06-16 2001-01-30 National Semiconductor Corporation Scan flip-flop that simultaneously holds logic values from a serial load and a subsequent parallel load
US6393592B1 (en) * 1999-05-21 2002-05-21 Adaptec, Inc. Scan flop circuitry and methods for making the same
US6300809B1 (en) 2000-07-14 2001-10-09 International Business Machines Corporation Double-edge-triggered flip-flop providing two data transitions per clock cycle
KR100399908B1 (ko) * 2001-06-29 2003-09-29 주식회사 하이닉스반도체 클럭의 스큐를 줄이기 위한 반도체 회로
US7427875B2 (en) * 2005-09-29 2008-09-23 Hynix Semiconductor Inc. Flip-flop circuit
US8566657B2 (en) * 2011-04-26 2013-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit and method for diagnosing scan chain failures
US8862951B2 (en) 2012-06-21 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Decision feedback equalizer
KR20160080586A (ko) * 2014-12-30 2016-07-08 삼성전자주식회사 집적 회로의 설계 방법 및 집적 회로의 설계를 위한 컴퓨팅 시스템
US10417363B1 (en) * 2016-12-27 2019-09-17 Cadence Design Systems, Inc. Power and scan resource reduction in integrated circuit designs having shift registers

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU1325677A1 (ru) * 1985-04-17 1987-07-23 Горьковский Исследовательский Физико-Технический Институт При Горьковском Государственном Университете Им.Н.И.Лобачевского Устройство дл синхронизации импульсов
JPS6348014A (ja) * 1986-08-18 1988-02-29 Nec Corp プリスケ−ラ
JPH01268220A (ja) * 1988-04-19 1989-10-25 Seiko Epson Corp パルス発生回路
US4873456A (en) * 1988-06-06 1989-10-10 Tektronix, Inc. High speed state machine
JPH031608A (ja) * 1989-05-30 1991-01-08 Mitsubishi Electric Corp マスター・スレーブ型フリップフロップ回路
JP3006794B2 (ja) * 1989-06-19 2000-02-07 日本電気株式会社 同期パルス発生回路
JPH0334617A (ja) * 1989-06-29 1991-02-14 Nec Corp フリップフロップ回路
US5172011A (en) * 1989-06-30 1992-12-15 Digital Equipment Corporation Latch circuit and method with complementary clocking and level sensitive scan capability
US5115435A (en) * 1989-10-19 1992-05-19 Ncr Corporation Method and apparatus for bus executed boundary scanning
JP2787725B2 (ja) * 1990-02-14 1998-08-20 第一電子工業株式会社 データ・クロックのタイミング合わせ回路
US5210759A (en) * 1990-11-19 1993-05-11 Motorola, Inc. Data processing system having scan testing using set latches for selectively observing test data
US5172397A (en) * 1991-03-05 1992-12-15 National Semiconductor Corporation Single channel serial data receiver
JPH06214821A (ja) * 1992-03-02 1994-08-05 Motorola Inc 逐次自己アドレス解読機能を有するデ−タ処理システムとその動作方法
US5390190A (en) * 1992-05-29 1995-02-14 Sun Microsystems, Inc. Inter-domain latch for scan based design
US5477545A (en) * 1993-02-09 1995-12-19 Lsi Logic Corporation Method and apparatus for testing of core-cell based integrated circuits
US5418481A (en) * 1993-12-10 1995-05-23 Cray Research, Inc. Repetitive signal detector for preventing thermal runaway
JP3557640B2 (ja) * 1993-12-14 2004-08-25 ソニー株式会社 同期回路
GB9417591D0 (en) * 1994-09-01 1994-10-19 Inmos Ltd Scan testable double edge triggered scan cell
US5606565A (en) * 1995-02-14 1997-02-25 Hughes Electronics Method of applying boundary test patterns
US5574731A (en) * 1995-02-22 1996-11-12 National Semiconductor Corporation Set/reset scan flip-flops
US5587682A (en) * 1995-03-30 1996-12-24 Sgs-Thomson Microelectronics S.R.L. Four-quadrant biCMOS analog multiplier
US5633606A (en) * 1995-05-25 1997-05-27 National Semiconductor Corporation Scan flip-flop that holds state during shifting
US5537062A (en) * 1995-06-07 1996-07-16 Ast Research, Inc. Glitch-free clock enable circuit
US5594367A (en) * 1995-10-16 1997-01-14 Xilinx, Inc. Output multiplexer within input/output circuit for time multiplexing and high speed logic

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604904B1 (ko) * 2004-10-02 2006-07-28 삼성전자주식회사 스캔 입력을 갖는 플립 플롭 회로

Also Published As

Publication number Publication date
DE19736788C2 (de) 2000-05-31
US5774003A (en) 1998-06-30
DE19736788A1 (de) 1998-04-23
KR100257208B1 (ko) 2000-05-15

Similar Documents

Publication Publication Date Title
US5130647A (en) Scan test circuit and semiconductor integrated circuit device using the same
KR100257208B1 (ko) 클록 스큐 보호를 가진 플립플롭 셀
CA1218424A (en) Scannable asynchronous/synchronous cmos latch
US5517108A (en) Flip-flop circuit in a scanning test apparatus
US20070016834A1 (en) Reducing Power Dissipation During Sequential Scan Tests
US4942577A (en) Logic circuit system with latch circuits for reliable scan-path testing
EP0530835B1 (en) Testing circuit provided in digital logic circuits
US7650548B2 (en) Power saving flip-flop
US4780666A (en) Semiconductor integrated circuit device having rest function
JPH0572290A (ja) 半導体集積回路
JP4274457B2 (ja) トランスペアレントラッチ回路
US20050055614A1 (en) Multi-clock domain logic system and related method
US6687890B2 (en) Method for layout design and timing adjustment of logically designed integrated circuit
US6275081B1 (en) Gated clock flip-flops
KR100413763B1 (ko) 탭드 코아 선택회로를 구비하는 반도체 집적회로
TW514734B (en) Integrated circuit device having boundary scan register
US20090009182A1 (en) Circuit to provide testability to a self-timed circuit
EP0454052B1 (en) Data processing device with test circuit
JPH09243705A (ja) 半導体論理集積回路
US6745356B1 (en) Scannable state element architecture for digital circuits
US5710711A (en) Method and integrated circuit adapted for partial scan testability
JP6211971B2 (ja) 半導体テスト回路及びicチップ
EP0110104B1 (en) Edge-triggered latch circuit
US20020199145A1 (en) Semiconductor integrated circuits built therein scan paths
JP3039362B2 (ja) 半導体集積論理回路のテストパターン作成方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee