KR102511289B1 - 박막 증착을 위한 짧은 무기 트리실릴아민-기반 폴리실라잔 - Google Patents

박막 증착을 위한 짧은 무기 트리실릴아민-기반 폴리실라잔 Download PDF

Info

Publication number
KR102511289B1
KR102511289B1 KR1020197018636A KR20197018636A KR102511289B1 KR 102511289 B1 KR102511289 B1 KR 102511289B1 KR 1020197018636 A KR1020197018636 A KR 1020197018636A KR 20197018636 A KR20197018636 A KR 20197018636A KR 102511289 B1 KR102511289 B1 KR 102511289B1
Authority
KR
South Korea
Prior art keywords
sih
containing film
film forming
approximately
forming composition
Prior art date
Application number
KR1020197018636A
Other languages
English (en)
Other versions
KR20190093597A (ko
Inventor
안토니오 산체스
게나디 이토브
리노 페사레시
진-마크 지라드
펭 장
매니시 칸델왈
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20190093597A publication Critical patent/KR20190093597A/ko
Application granted granted Critical
Publication of KR102511289B1 publication Critical patent/KR102511289B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

고순도 박막 증착을 위한 Si-C 부재 및 휘발성 실라잔 전구체가 개시되어 있다.

Description

박막 증착을 위한 짧은 무기 트리실릴아민-기반 폴리실라잔
관련 출원에 대한 상호 참조문헌
본 출원은 2017년 7월 27일에 출원된 미국출원 제15/661,576호 및 2016년 12월 11일에 출원된 미국가출원 제62/432,666호의 이익을 주장하며, 이러한 문헌 둘 모두는 전문이 모든 목적을 위해 본원에 참고로 포함된다.
기술분야
Si-C 부재 및 휘발성 실라잔-함유 전구체를 포함하는 Si-함유 막 형성 조성물이 개시된다. 본 조성물은 고순도 박막을 증착시키는 데 사용될 수 있다.
반도체 소자(semiconductor device)가 소형화(scaling down)됨에 따라, 새로운 물질이 요구되고 있다. 질화규소 또는 산화규소와 같은 일반적인 물질은 더 증가하고 있는 엄격한 조건에서 증착되어야 한다. 예를 들어, 일반적인 경향은 높은 증착율 및 높은 막 품질을 유지하면서, 가능한 한 가장 낮은 온도에서 화학적 기상 증착(CVD) 또는 원자층 증착(ALD)에 의해 질화규소를 증착하는 것이다. 이러한 공정을 위하여, 전구체 분자는 낮은 불순물 및 적합한 컨포멀성(conformality)(일부 적용의 경우 고도로 컨포멀한 것으로부터 다른 적용의 경우 상향식 충전(bottom up fill)까지)을 갖는 고품질의 막을 얻는 데 중요한 역할을 한다.
미국특허출원공개 제2015/047914호(Sanchez 등)에는 할로겐 부재 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물, 및 상응하는 비치환된 트리실릴아민과 전이 금속 촉매에 의해 촉매화된 아민 간의 탈수소화 커플링을 통한 이의 제조 방법이 개시되어 있다.
US2015/376211호(Girard 등)에는 일치환된 TSA 전구체 Si-함유 막 형성 조성물이 개시되어 있다.
US2016/0049293호(Li 등)에는 추가적인 유전체 박막을 제공함으로써 낮은 유전상수의 다공성 층의 기공을 시일링하기 위한 방법 및 이를 포함하는 조성물이 개시되어 있다.
US2016/0225616호(Li 등)에는 복수의 규소-함유 층을 포함하는 장치로서, 규소-함유 층이 산화규소 및 질화규소 층 또는 막으로부터 선택된 장치가 개시되어 있다.
WO2016/065221호(Lei 등)에는 규소-함유 막 또는 물질을 형성하기 위한 조성물 및 이를 이용하는 방법이 개시되어 있다.
Si-C 직접 결합이 결여된 분자는, Si-C 결합의 낮은 반응성 및 높은 열 안정성으로 인하여, 이러한 직접 결합을 갖는 분자보다 더 순수한 막을 산출하는 것으로 알려져 있다.
추가적으로, 알콕시 기를 갖는 실란은 원자층 증착에 의해 적절한 자기-제한 성장을 거의 나타내지 않고, 일반적으로 막에 산소가 잔류하기 때문에, 질화규소 막을 형성할 수 없고, 이에 따라, 박막 증착을 위한 가능한 적용과 관련하여 Si-N 결합을 갖는 아미노실란 정도로 다목적이지 않다. 그러나, 알콕시 기가 원자층 증착에서 표면 반응을 위한 적합한 작용기로서 나타나지 않는 반면, Si-O-Si(실록산) 브릿지를 갖는 Si-C 부재 분자가 제안되었고, 사용될 수 있다.
산화규소 및 질화규소 박막 증착을 위해 제안되고 산업적으로 사용되는 통상적인 Si-C-부재 실란 전구체는 하기와 같다:
a- 할로실란, 예를 들어, 디클로로실란, 모노클로로실란, 헥사클로로디실란, 옥타클로로트리실란, 디-요오도 실란, 펜타클로로디실란, 등,
b- 퍼히드리도(폴리)실란, 예를 들어, SiH4, Si2H6 또는 Si3H8,
c- 일반 화학식 SiHx(NR1R2)4-x를 갖는 아미노 실란, 예를 들어, 비스-디에틸아미노실란, 트리스-디메틸아미노실란, 디이소프로필아미노실란, 비스(에틸메틸아미노)실란, 테트라키스(에틸아미노)실란,
d- 아미노-디실란, 예를 들어, 헥사키스(에틸아미노)디실란, 디이소프로필아미노실란, 디에틸아미노디실란,
e- 실록산, 예를 들어, 디실록산, 헥사클로로디실록산,
f- 다양한 증착 공정, 예를 들어, 유동성 CVD(flowable CVD), 열적 저압 CVD, 플라즈마 강화 CVD, ALD, 및 플라즈마 강화 ALD를 위해 사용될 수 있는, 트리실릴아민,
g- 더욱 최근에, 다른 규소-풍부 분자, 예를 들어, TSA-Cl 또는 BDSASi가 제안되었고, 예를 들어 BDSASi는 PEALD에 의해 사이클 당 높은 성장으로 SiN을 생산한다는 것이 보고되었다.
그러나, ADL, CVD, 유동성 CVD 또는 다른 형태 등의 증기 증착 방식과 상관 없이, 높은 막 순도를 유지하면서, 저온에서 더 높은 성장률을 가능하게 하는 분자는 공정 생산성을 더욱 증가시키거나, 일반적인 전구체보다 더 낮은 온도 조건에서 증착을 가능하게 하기 위해 여전히 추구된다.
규소-함유 막 형성 조성물이 개시된다. 규소-함유 막 형성 조성물은 하기 화학식 (a) 내지 (d)로 이루어진 군으로부터 선택된 전구체를 포함한다:
(a) [(SiR3)2NSiH2]n-NL3-n(여기서, n은 2 또는 3임);
(b) (SiH3)2NSiH2-O-SiH2N(SiH3)2;
(c) (SiR'3)2N-SiH2-N(SiR'3)2; 및
(d) [(SiR3)2NSiH2]m-NH2-m-C≡N(여기서, m은 1 또는 2임)
상기 식에서,
- 각 R은 독립적으로, H, 화학식 -NR1R2를 갖는 디알킬아미노 기, 또는 아미디네이트로부터 선택되며,
- 각 R'는 독립적으로, H, 화학식 -NR1R2를 갖는 디알킬아미노 기, 또는 아미디네이트로부터 선택되며, 단, R' 모두가 H는 아니며,
- R1 및 R2는 독립적으로, H 또는 C1-C12 히드로카르빌 기로부터 선택되며, 단, R1 및 R2는 동시에 H일 수 없으며, R1이 H인 경우에, R2는 C2-C12 히드로카르빌 기이며, NR1R2는 함께 N-함유 헤테로시클릭 리간드를 형성할 수 있으며,
- L은 H 또는 C1-C6 히드로카르빌 기로부터 선택된다.
개시된 규소-함유 막 형성 조성물은 하기 양태들 중 하나 이상을 포함할 수 있다:
● 전구체는 [(SiH3)2NSiH2]2NH이거나;
● 전구체는 [(SiH3)2NSiH2]3N이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NR1R2)이거나;
● 전구체는 (SiH3)2NSiH2-O-SiH2N(SiH3)2이거나;
● 전구체는 [(SiH3)2NSiH2]-NH-C≡N이거나;
● 전구체는 [(SiH3)2NSiH2]2N-C≡N이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NMe2)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NEt2)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NEtMe)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NiPr2)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NtBu2)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NnBu2)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NsecBu2)이거나;
● 전구체는 (SiH3)2N-SiH2-N(SiH3)(SiH2NHtBu)이거나;
● Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 전구체를 포함하거나;
● Si-함유 막 형성 조성물은 대략 5% w/w 내지 대략 50% w/w의 전구체를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Al을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 As를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Ba를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Be를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Bi를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Cd를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Ca를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Cr을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Co를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Cu를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Ga를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Ge를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Hf를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Zr을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 In을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Fe를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Pb를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Li를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Mg를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Mn을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 W를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Ni를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 K를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Na를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Sr을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Th를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Sn을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Ti를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 U를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 V를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 Zn을 포함하거나;
● Si-함유 막 형성 오가노실란 조성물은 대략 0 ppmw 내지 대략 500 ppmw의 Cl을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppmw 내지 대략 500 ppmw의 Br을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0 ppmw 내지 대략 500 ppmw의 I를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 TSA를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 (SiH3)2-N-SiH2X(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 (SiH3)2-N-SiHX2(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 SiH4를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 SiH3X(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 SiH2X2(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 SnX2(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 SnX4(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 HX(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 NH3을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 NH4X(여기서, X는 Cl, Br, 또는 I임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 ROH(여기서, R은 C1-C4 알킬 기임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 NH2R(여기서, R은 C1-C4 알킬 기임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 NR2H(여기서, R은 C1-C4 알킬 기임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 HN=R(여기서, R은 C1-C4 알킬 기임)를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 테트라히드로푸란(THF)을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 에테르를 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 펜탄을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 시클로헥산을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 헵탄을 포함하거나;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 0.1% w/w의 톨루엔을 포함한다.
화학적 기상 증착 방법에 의해 기판 상에 규소-함유 막을 증착시키는 방법이 개시된다. 상기에 개시된 임의의 Si-함유 막 형성 조성물의 증기는 기판을 함유한 반응기 내에 도입된다. 전구체의 적어도 일부는 화학적 기상 증착 공정을 이용하여 기판 상에 규소-함유 막을 형성하기 위해 기판 상에 증착된다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
● 화학적 기상 증착 방법은 원자층 증착 공정이며;
● 화학적 기상 증착 방법은 플라즈마 강화 원자층 증착 공정이며;
● 반응기 내에 제2 전구체를 포함하는 증기를 도입하며;
● 제2 전구체의 원소는 2족, 13족, 14족, 전이 금속, 란타나이드, 및 이들의 조합으로 이루어진 군으로부터 선택되며;
● 제2 전구체의 원소는 As, B, P, Si, Ge, Al, Zr, Hf, Ti, Nb, Ta, 또는 란타나이드로부터 선택되며;
● 반응기 내에 반응물을 도입하며;
● 반응물은 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 알코올, 디올, 이의 라디칼, 및 이들의 조합으로 이루어진 군으로부터 선택되며;
● 반응물은 플라즈마 처리된 산소이며;
● 규소-함유 막은 산화규소 막이며;
● 규소-함유 막은 질화규소 막이며;
● 기판은 실리콘 웨이퍼이며;
● 기판은 유리이며;
● 기판은 유기 물질이며;
● Si-함유 층을 가열 어닐링하며;
● 반응성 대기 하에서 Si-함유 층을 가열 어닐링하며;
● Si-함유 층을 UV 경화시키며;
● Si-함유 층을 전자빔 경화시킨다.
표기법 및 명칭
특정 약어, 기호 및 용어는 하기 설명 및 청구범위 전반에 걸쳐 사용되고, 하기를 포함한다:
본원에서 사용되는 단수 형태("a" 또는 "an")는 하나 이상을 의미한다.
본원에서 사용되는 용어 "대략" 또는 "약"은 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 용어 "독립적으로(independently)"는 R 기를 기술하는 문맥에서 사용될 때, 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 지닌 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가적인 종에 대해 독립적으로 선택됨을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일하거나 R2 또는 R3과 동일할 수 있지만, 반드시 그러할 필요는 없다. 또한, 달리 상세하게 기술하지 않는 한, R 기의 값이 상이한 화학식에서 사용될 때, 서로 독립적인 것으로 이해되어야 한다.
본원에서 사용되는 용어 "히드로카르빌 기"는 탄소 및 수소를 함유한 작용기를 지칭하며; 용어 "알킬 기"는 오로지 탄소 및 수소 원자만을 함유한 포화된 작용기를 지칭한다. 히드로카르빌 기는 포화되거나 불포화될 수 있다. 각각의 용어는 선형, 분지형, 또는 환형 기를 지칭한다. 선형 알킬 기의 예는 메틸 기, 에틸 기, 프로필 기, 부틸 기, 등을 포함하지만, 이로 제한되지 않는다. 분지형 알킬 기의 예는 t-부틸을 포함하지만, 이로 제한되지 않는다. 환형 알킬 기의 예는 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기, 등을 포함하지만, 이로 제한되지 않는다.
본원에서 사용되는 "C-부재(C-free)"는 출발 반응물이 Si-C 결합을 가지지 않는 것을 의미한다.
본원에서 사용되는 약어 "Me"는 메틸 기를 지칭하며; 약어 "Et"는 에틸 기를 지칭하며; 약어 "Pr"은 프로필 기를 지칭하며; 약어 "nPr"은 "노멀(normal)" 또는 선형 프로필 기를 지칭하며; 약어 "iPr"은 이소프로필 기를 지칭하며; 약어 "Bu"는 부틸 기를 지칭하며; 약어 "nBu"는 "노멀" 또는 선형 부틸 기를 지칭하며; 약어 "tBu"는 1,1-디메틸에틸로도 공지된 3차-부틸 기를 지칭하며; 약어 "sBu"는 1-메틸프로필로도 공지된 2차-부틸 기를 지칭하며; 약어 "iBu"는 2-메틸프로필로도 공지된 이소-부틸 기를 지칭하며; 용어 "아밀"은 아밀 또는 펜틸 기(즉, C5 알킬 기)를 지칭하며; 용어 "t아밀"은 1,1-디메틸프로필로도 공지된 3차-아밀 기를 지칭한다.
용어 "할라이드"는 할로겐 음이온 F-, Cl-, Br-, 및 I-를 지칭하며; 용어 "실릴"은 R3Si- 리간드를 지칭하며, 여기서, 각 R은 독립적으로, H 또는 C1-C4 알킬 기이다. 용어 "할라이드 염"은 할라이드 이온을 함유한 이온성 화합물을 지칭한다.
본원에서 사용되는 약어 "NR,R'R"-amd" 또는 NRR"-amd는 R이 R'와 동일할 때, 아미디네이트 리간드[R-N-C(R")=N-R']를 지칭하며, 여기서, R, R' 및 R"는 H 또는 규정된 알킬 기, 예를 들어, Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu이며; 약어 "NR,R'-fmd" 또는 NR-fmd는 R이 R'와 동일할 때, 포름아미디네이트 리간드[R-N-C(H)=N-R']를 지칭하며, 여기서, R 및 R'는 규정된 알킬 기, 예를 들어, Me, Et, nPr, iPr, nBu, iBu, sBu 또는 tBu이다. 총괄적으로, 아미디네이트 패밀리에서 선택된 리간드는 "AMD"로 약칭된다.
Figure 112020111770597-pct00003

원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이러한 약어에 의해 언급될 수 있는 것으로 이해되어야 한다(예를 들어, Mn은 망간을 지칭하며, Si는 규소를 지칭하며, C는 탄소를 지칭하며, 등등). 추가적으로, 3족은 주기율표의 3족(즉, Sc, Y, La, 또는 Ac)을 지칭한다. 유사하게, 4족은 주기율표의 4족(즉, Ti, Zr, 또는 Hf)을 지칭하며, 5족은 주기율표의 5족(즉, V, Nb, 또는 Ta)을 지칭한다.
본원에서 인용되는 임의의 및 모든 범위는 용어 "포괄적으로"가 사용되는지와는 무관하게, 이의 종결점을 포함한다(즉, x=1 내지 4, 또는 x는 1 내지 4의 범위라는 것은 x=1, x=4, 및 x=이들 사이의 임의의 수를 포함함).
적절한 화학양론(즉, SiO2, SiO3, Si3N4)을 언급하지 않으면서 명세서 및 청구범위 전반에 걸쳐 증착된 막 또는 층, 예를 들어, 산화규소 또는 질화규소가 나열될 수 있다는 것이 주목된다. 이러한 층은 순수한 (Si) 층, 탄화물(SioCp) 층, 질화물(SikNl) 층, 산화물(SinOm) 층, 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, n, o, 및 p는 포괄적으로, 1 내지 6의 범위이다. 예를 들어, 산화규소는 SinOm이며, 여기서, n은 0.5 내지 1.5의 범위이며, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게는, 산화규소 층은 SiO2 또는 SiO3이다. 이러한 막은 또한, 통상적으로, 0 원자% 내지 15 원자%의 수소를 함유할 수 있다. 그러나, 관례대로 측정되지 않았기 때문에, 제공된 임의의 막 조성물은, 달리 명시적으로 기술하지 않는 한, 이의 H 함량을 무시한다.
기판은 그 위에 막이 증착되는 주요 고체 물질로서 이해된다. 막은 그 자체가 기판 상에 있는 층의 스택 상에 증착될 수 있는 것으로 이해된다. 기판은 통상적으로, 실리콘, 유리, 석영, 사파이어, GaN, AsGa, Ge의 웨이퍼이지만, 이로 제한되지 않는다. 기판은 통상적으로, 금속, 유리, 유기 물질, 예를 들어, 폴리카르보네이트, PET, ABS, PP, HDPE, PMMA, 등의 시트일 수 있다. 기판은 유사한 물질의 3차원(3D) 물체일 수 있다. 실리콘 웨이퍼 상에서, 기판 위의 층은 통상적으로 Ge, SiGe, 산화규소, 질화규소, 금속(예를 들어, Cu, Co, Al, W, Ru, Ta, Ti, Ni), 금속 규화물 및 합금, 금속 질화물, 예를 들어, TaN, TiN, VN, NbN, HfN, VN; 탄소 도핑된 실리카 막(조밀하거나 다공성), 규소 탄질화물, 비정질 탄소, 붕소 질화물, 붕소 탄질화물, 유기 물질, 예를 들어, 스핀-온-탄소(spin-on-carbon), 폴리이미드, 포토레지스트(photoresist) 및 반사방지층; 금속 산화물, 예를 들어, Ti, Hf, Zr, Ta, Nb, V, Mo, W, Al, 및 란타나이드의 산화물일 수 있다. 기판은 통상적으로, 5 nm 내지 100 ㎛, 및 대개 20 nm 내지 1 ㎛ 범위의 개구, 및 1:1000 이하, 더욱 일반적으로, 1:2 내지 1:100 범위의 종횡비를 갖는 홀 또는 트랜치(trench)와 같은 토포그래피(topography)를 가질 수 있다.
본 발명의 본질 및 목적의 추가 이해를 위하여, 첨부된 도 1과 함께 기술된 하기 상세한 설명이 참조되어야 한다.
도 1은 실시예의 퍼히드로폴리실라잔 오일의 가스 크로마토그래피 스펙트럼이다.
구현예의 설명
하기 화학식 (1) 내지 (4)의 패밀리로부터 선택된 적어도 하나의 트리실릴아민 골격을 갖는 단쇄(Si는 3 내지 10의 범위임) 올리고실라잔을 포함하는 규소-함유 막 형성 조성물이 개시된다:
(1) [(SiR3)2NSiH2]n-NL3-n(여기서, n은 2 또는 3임);
(2) (SiH3)2NSiH2-O-SiH2N(SiH3)2;
(3) (SiR'3)2N-SiH2-N(SiR'3)2;
(4) [(SiR3)2NSiH2]m-NH2-m-C≡N(여기서, m은 1 또는 2임)
상기 식에서,
- 각 R은 독립적으로, H, 화학식 -NR1R2를 갖는 디알킬아미노 기, 또는 아미디네이트로부터 선택되며;
- 각 R'는 독립적으로, H, 화학식 -NR1R2를 갖는 디알킬아미노 기, 또는 아미디네이트로부터 선택되며, 단, R' 모두가 H는 아니며;
- R1 및 R2는 독립적으로, H 또는 C1-C12 히드로카르빌 기로부터 선택되며, 단, R1 및 R2는 동시에 H일 수 없으며, R1이 H인 경우에, R2는 C2 히드로카르빌 기 또는 보다 큰 히드로카르빌 기이며;
- NR1R2는 N-함유 헤테로시클릭 리간드를 형성할 수 있으며,
- L은 H 또는 C1-C6 히드로카르빌 기로부터 선택된다.
상기 화합물의 바람직한 구현예는 하기를 포함한다:
- 모든 R=H, L=H 및 n=2인 패밀리 (1)의 분자: [(SiH3)2NSiH2]2NH,
- 모든 R=H, L=C1-C6 히드로카르빌 기 및 n=2인 패밀리 (1)의 분자: [(SiH3)2NSiH2]2NL
○ L이 메틸, 에틸, 이소프로필, n-프로필, 3차-부틸, 2차-부틸, n-부틸, 헥실, 비닐, 알릴로부터 선택된 것인 상기 분자,
- 모든 R=H 및 n=3인 패밀리 (1)의 분자: [(SiH3)2NSiH2]3N,
- 하나를 제외한 모든 R'=H이며 하나의 R'가 NR1R2인 패밀리 (3)의 분자: (SiH3)2N-SiH2-N(SiH3)(SiH2N(R1R2)),
○ R1=R2=Et인 상기 분자,
○ R1=R2=iPr인 상기 분자,
○ R1=R2=Me인 상기 분자,
○ R1=Me 및 R2=Et인 상기 분자,
○ R1=R2=tBu인 상기 분자,
○ R1=R2=n-Bu인 상기 분자,
○ R1=R2=secBu인 상기 분자,
○ R1=H 및 R2=tBu인 상기 분자,
○ NR1R2가 피롤, 피롤리딘, 피페리딘, 이미다졸, 또는 아지리딘인 상기 분자,
- [(SiH3)2NSiH2]2N-C≡N인 패밀리 (4)의 분자,
- [(SiH3)2NSiH2]-NH-C≡N인 패밀리 (4)의 분자.
또한, 본 발명은 하기 합성 공정으로부터 패밀리 (1), (2), (3) 및 (4)의 화합물의 합성을 포함한다:
패밀리 1
(1) 하기 반응에 따른 (SiR3)2NSiH2-X(여기서, X는 Cl, Br, I, SCN 또는 NCO로부터 선택됨)와 1차 아민 NH2L의 반응으로부터:
n(SiR3)2NSiH2-X + NH2L → [(SiR3)2NSiH2]n-NL3-n + (n+1)NH3LX(염)
- 반응은 바람직하게는, 무수 및 비양성자성 용매 또는 용매 혼합물, 예를 들어, 비제한적으로, C3-C24 탄화수소 용매, 톨루엔, 벤젠, 디에틸에테르, 아세토니트릴, 또는 테트라히드로푸란(THF)에서 수행된다.
- 반응은 -40℃ 내지 100℃의 온도에서, 바람직하게는, 실온에서 수행된다.
- 선택적으로, 형성된 염은 반응 혼합물로부터 여과되며, 나머지 액체 조성물의 성분들은 증류에 의해 분리된다.
- 선택적으로, 패밀리 (1)의 화합물은 반도체 등급 전구체에서 통상적인 98% 초과, 더욱 바람직하게는, 또는 99% 초과, 및 더욱더 바람직하게는, 99.5% 초과의 검정에 도달하도록 증류에 의해 정제된다.
- 선택적으로, 패밀리 (1)의 생성물은 예를 들어, 생성물을 고체 흡착제, 예를 들어, 활성탄, 건조된 Amberlyst 수지 또는 다른 이러한 이온교환수지에 노출시킴으로써, 용해된 NH3LX 염의 함량을 감소시키기 위해 추가로 처리될 수 있다.
- 선택적으로, 생성물은 반도체 산업에서 사용되는 생성물에서 통상적인 사양에 도달하기 위해 여과될 수 있다.
- (SiR3)2NSiH2-X 반응물은 공동 계류중인 미국특허출원공개 제2015/0376211호에 개시된 바와 같이, 보다 구체적으로, SnX4 + N(SiR3)3 → N(SiR3)2(SiR2X) + SnX2↓ + HXl(여기서, X는 Cl, Br, 또는 I임)에 의해 합성될 수 있다[문헌[J. Chem. Soc. Dalton Trans. 1975, p.1624] 참조]. 대안적으로, 디할로실란[SiR2X2(여기서, X는 Cl, Br, 또는 I임)] 및 모노할로실란[SiR3X(여기서, X는 Cl, Br, 또는 I임)]은 미국특허 US 8,669,387호(Miller)에 기술된 바와 같이 유동-관형 반응기(flow-through tubular reactor)에서 1/20 내지 1/4 비율로 그리고 400 sccm의 NH3과 함께 실온에서 기상으로 연속적으로 도입될 수 있다. NH3과 2 당량의 모노할로실란의 반응은 주로 디실릴아민(DSA)을 형성한다. DSA는 이후에, 디할로실란과 반응하여 (SiH3)2-N-SiH2X 및 HX(여기서, X는 Cl, Br, 또는 I임)를 형성한다. 당업자는, 이러한 반응이 1 또는 2 단계에서(제1 단계는 모노할로실란 및 NH3으로부터 DSA를 형성하며, 제2 단계는 디할로실란을 첨가함) 또는 1 단계에서(1 단계에서 모노할로실란, 디클로로실란, 및 NH3을 조합함) 수행될 수 있음을 인식할 것이다.
(2) 하기 반응에 따른, 미국특허출원공개 제2015/0094470호에 기술된 바와 같이, 촉매의 존재 하에서, (SiR3)2NSiH3과 NH2L의 직접 탈수소화 커플링으로부터:
n(SiR3)2NSiH3 + NH2L → [(SiR3)2NSiH2]n-NL3-n + H2
- 반응은 그 상태로 또는 비제한적으로, C3-C24 탄화수소 용매, 톨루엔, 벤젠, 디에틸에테르, 아세토니트릴, 또는 THF와 같은 비양성자성 용매에서 수행된다.
- 반응은 실온 내지 150℃의 온도에서, 바람직하게는, 30 내지 60℃에서 수행된다.
- 선택적으로, 촉매는 반응 혼합물로부터 여과되며, 나머지 액체 조성물의 성분들은 증류에 의해 분리된다.
- 선택적으로, 반응 혼합물은 비제한적으로, 3차 아민 또는 배위 화합물, 예를 들어, XNR4(X = F, Cl, Br, I; R = 알킬), R-CN, R2S, 또는 PR3으로부터 선택된, 촉매를 비활성화시키기 위한 제제로 처리된다.
- 선택적으로, 패밀리 (1)의 화합물은 반도체 등급 전구체에서 통상적인, 98% 초과, 더욱 바람직하게는, 또는 99% 초과, 및 더욱 바람직하게는, 99.5% 초과의 검정에 도달하도록 증류에 의해 정제된다.
- 선택적으로, 생성물은 반도체 산업에서 사용되는 생성물에서 통상적인 사양에 도달하기 위해 여과될 수 있다.
패밀리 2
(3) 하기 반응에 따른 (SiH3)2NSiH2-X(여기서, X는 Cl, Br, I, SCN, NCO, 또는 NR1R2 기, 및 상기에서 규정된 바와 같은 NR1R2로부터 선택됨)와 H2O 반응물의 반응으로부터,
2(SiH3)2NSiH2-X + H2O → (SiH3)2NSiH2-O-SiH2N(SiH3)2 + 2HX
- 반응은 바람직하게는, 무수 또는 비양성자성 용매 또는 용매 혼합물, 예를 들어, 비제한적으로, C3-C24 탄화수소 용매, 톨루엔, 벤젠, 디에틸에테르, 아세토니트릴, 또는 THF에서 수행된다.
- H2O는 반응 전반에 걸쳐 일정한 과량의 실란 모이어티를 유지하기 위해 실란 함유 조성물 내로 서서히 첨가된다.
- 반응은 -40℃ 내지 100℃, 바람직하게는, -20℃ 내지 실온의 온도에서 수행된다.
- 선택적으로, 물은 운반 가스에서 증기로서 표면 아래(subsurface)에 첨가된다.
- 선택적으로, 물은 극성 비양성자성 용매 중에, 통상적으로, 1% 내지 50%, 더욱 바람직하게는, 5% 내지 30%까지 첨가 희석된다.
- 선택적으로, 및 우선적으로, X가 할로겐일 때, 반응 혼합물은 몰 기준으로 HX 예상 방출보다 더 높은 양으로 할라이드 스캐빈저, 예를 들어, 비제한적으로, 피리딘, 트리알킬아민을 포함한다. 할라이드 스캐빈저는 용매로서 사용될 수 있다. 이후에, 반응 혼합물은 최종 생성물 분리 이전에 형성된 HX-스캐빈저 염을 제거하기 위해 여과될 수 있다.
- 선택적으로, 패밀리 (2)의 화합물은 반도체 등급 전구체에서 통상적인 98% 초과, 더욱 바람직하게는, 99% 초과, 및 더욱더 바람직하게는, 99.5% 초과의 검정에 도달하도록 진공 증류에 의해 정제된다.
- 선택적으로, 패밀리 (2)의 생성물은 예를 들어, 생성물을 고체 흡착제, 예를 들어, 활성탄, 건조된 Amberlyst 수지 또는 다른 이러한 이온교환수지에 노출시킴으로써, 용해된 염의 함량을 감소시키기 위해 추가로 처리될 수 있다.
- 선택적으로, 생성물은 반도체 산업에서 사용되는 생성물에서 통상적인 사양에 도달하기 위해 여과될 수 있다.
패밀리 3
패밀리 3의 화합물은 우선적으로, 미국특허출원공개 제2015/0094470호에 기술된 것과 동일한 프로토콜에 따라, 탈수소화 커플링에 의한 (SiH3)2N-SiH2-N(SiH3)2(BDSASi)와 아민의 직접 반응으로부터 합성된다.
SiH2[N(SiH3)2]2+ nHNR1R2 → SiH2[N(SiH3-x(NR1R2)x][SiH3-y(NR1R2)y] + (x+y)H2
(여기서, x는 0 내지 3이며, y는 1 내지 3임)
- 반응은 그 상태로 또는 비제한적으로, C3-C24 탄화수소 용매, 톨루엔, 벤젠, 디에틸에테르, 아세토니트릴, 또는 THF와 같은 비양성자성 용매 중에서 수행된다.
- 반응은 실온 내지 150℃의 온도에서, 바람직하게는, 30 내지 60℃에서 수행된다.
- 선택적으로, 촉매는 반응 혼합물로부터 여과되며, 나머지 액체 조성물의 성분들은 증류에 의해 분리된다.
- 선택적으로, 반응 혼합물은 비제한적으로, 3차 아민 또는 배위 화합물, 예를 들어, XNR4(X = F, Cl, Br, I; R = 알킬), R-CN, R2S, PR3으로부터 선택된, 촉매를 비활성화시키기 위한 제제로 처리된다.
- 선택적으로, 패밀리 (3)의 화합물은 반도체 등급 전구체에서 통상적인 98% 초과, 더욱 바람직하게는, 또는 99% 초과, 및 더욱더 바람직하게는, 99.5% 초과의 검정에 도달하도록 증류에 의해 정제된다.
- 선택적으로, 생성물은 반도체 산업에서 사용되는 생성물에서 통상적인 사양에 도달하기 위해 여과될 수 있다.
- (SiR3)2NSiH2-X 반응물은 공동 계류 중인 미국특허출원 공보 제 US-2018-0072571-A1 호에 개시된 바와 같이, 보다 구체적으로, 트리실릴아민을 NH3 반응물 또는 열 없이, 촉매, 예를 들어, B(C6F5)3, BPh3, PdCl2, Co2(CO)8, 또는 제올라이트 Y(H) Si:Al와 혼합시킴으로써 합성될 수 있다.
패밀리 4
하기 반응에 따른, (SiR3)2NSiH2-X(여기서, X는 Cl, Br, I, SCN 또는 NCO로부터 선택됨)와 시안아민 기 H2N-C≡N의 반응으로부터,
m(SiR3)2NSiH2-X + (m+1)H2N-C≡N → [(SiR3)2NSiH2]mH2-mN-C≡N + mXH3N-C≡N(염)
- 반응은 바람직하게는, 비제한적으로, C3-C24 탄화수소 용매, 톨루엔, 벤젠, 디에틸에테르, 아세토니트릴, 또는 THF와 같은, 무수 및 비양성자성 용매 또는 용매 혼합물에서 수행된다.
- 반응은 -40℃ 내지 100℃의 온도에서, 바람직하게는, 실온에서 수행된다.
- 선택적으로, 형성된 염은 반응 혼합물로부터 여과되며, 나머지 액체 조성물의 성분들은 증류에 의해 분리된다.
- 선택적으로, 패밀리 (4)의 화합물은 반도체 등급 전구체에서 통상적인, 98% 초과, 더욱 바람직하게는, 또는 99% 초과, 및 더욱더 바람직하게는, 99.5% 초과의 검정에 도달하도록 진공 증류에 의해 정제된다.
- 선택적으로, 패밀리 (4)의 생성물은 예를 들어, 고체 흡착제, 예를 들어, 활성탄, 건조된 Amberlyst 수지 또는 다른 이러한 이온교환수지에 생성물을 노출시킴으로써, 용해된 XH3N-C≡N 염의 함량을 감소시키기 위해 추가로 처리될 수 있다.
공정 신뢰도를 보장하기 위하여, 개시된 Si-함유 막 형성 조성물은 사용 전에 연속 또는 분별 배치 증류에 의해 대략 95% w/w 내지 대략 100% w/w의 범위, 바람직하게는, 대략 98% w/w 내지 대략 100% w/w의 범위의 순도까지 정제될 수 있다. 당업자는 순도가 질량 분석법과 함께 H NMR 또는 기체 또는 액체 크로마토그래피에 의해 결정될 수 있다는 것을 인식할 것이다. Si-함유 막 형성 조성물은 하기 불순물 중 임의의 것을 함유할 수 있다: 할라이드(X2), 트리실릴아민, 모노할로트리실릴아민, 디할로트리실릴아민, SiH4, SiH3X, SnX2, SnX4, HX, NH3, NH3X, 모노클로로실란, 디클로로실란, 알코올, 알킬아민, 디알킬아민, 알킬이민, THF, 에테르, 펜탄, 시클로헥산, 헵탄, 또는 톨루엔(여기서, X는 Cl, Br, 또는 I임). 바람직하게는, 이러한 불순물의 총량은 0.1% w/w 미만이다. 정제된 조성물은 재결정화, 승화, 증류에 의해, 및/또는 적합한 흡착제, 예를 들어, 4A 분자체 또는 탄소-기반 흡착제(예를 들어, 활성탄)로 기체 또는 액체를 통과시킴으로써 형성될 수 있다.
정제된 일치환된 TSA 전구체 조성물에서 각 용매(예를 들어, THF, 에테르, 펜탄, 시클로헥산, 헵탄, 및/또는 톨루엔)의 농도는 대략 0% w/w 내지 대략 5% w/w, 바람직하게는, 대략 0% w/w 내지 대략 0.1% w/w의 범위일 수 있다. 전구체 조성물의 합성에서 용매가 사용될 수 있다. 전구체 조성물로부터 용매의 분리는 둘 모두가 유사한 비등점을 갖는 경우에 어려울 수 있다. 혼합물을 냉각시켜 액체 용매 중에 고체 전구체를 형성할 수 있으며, 이는 여과에 의해 분리될 수 있다. 진공 증류가 또한 사용될 수 있으며, 단, 전구체 조성물은 대략 이의 분해점 초과에서 가열되지 않는다.
개시된 Si-함유 막 형성 조성물은 5% v/v 미만, 바람직하게는, 1% v/v 미만, 더욱 바람직하게는, 0.1% v/v 미만, 및 더욱더 바람직하게는, 0.01% v/v 미만의 임의의 이의 모노-, 듀얼- 또는 트리스-유사체 또는 다른 반응 산물을 함유한다. 이러한 구현예는 보다 양호한 공정 재현성을 제공할 수 있다. 이러한 구현예는 Si-함유 막 형성 조성물의 증류에 의해 형성될 수 있다.
개시된 Si-함유 막 형성 조성물의 정제는 또한, 대략 0 ppbw 내지 대략 500 ppbw, 및 더욱 바람직하게는, 대략 0 ppbw 내지 대략 100 ppbw 범위의 농도의 미량 금속 및 메탈로이드를 형성할 수 있다. 이러한 금속 또는 메탈로이드 불순물은 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티탄(Ti), 우라늄(U), 바나듐(V) 및 아연(Zn)을 포함하지만, 이로 제한되지 않는다. 정제된 일치환된 TSA 전구체 조성물에서 X(여기서, X = Cl, Br, I)의 농도는 대략 0 ppmw 내지 대략 100 ppmw 및 더욱 바람직하게는, 대략 0 ppmw 내지 대략 10 ppmw의 범위일 수 있다.
선택적으로, 생성물은 반도체 산업에서 사용되는 생성물에서 통상적인 사양에 도달할 수 있도록 여과될 수 있다.
패밀리 (1) 내지 패밀리 (4)로부터의 임의의 생성물을 포함하는 임의의 조성물은 반도체, 평판 디스플레이, 광전지에서 적용하기 위한 규소 함유 박막의 화학적 기상 증착(CVD)을 위해, 및 더욱 일반적으로, 규소 기반 코팅을 위해 사용될 수 있다. 용어 "CVD"가 전구체가 기상으로, 규소 박막이 위에 증착되는 기판과 접촉되는 모든 구현예를 포함하는 것으로 이해된다. 이와 같이, 용어 CVD는 저압 화학적 기상 증착(LPCVD), 준대기 화학적 기상 증착(SA-CVD), 대기 화학적 기상 증착(AP-CVD), 유동성 화학적 기상 증착(F-CVD), 원자층 증착(ALD), 분자층 증착(MLD), 펄스식 화학적 기상 증착(P-CVD), 유동-조절 화학적 기상 증착(FM-CVD)을 의미할 수 있다. 이러한 기술들 각각은 전구체 또는 반응물 활성화 기술, 예를 들어, 인시튜 플라즈마("플라즈마 강화", 또는 PE), 원격 플라즈마(RP), 핫 와이어(HW), 및 광자(UV)에 의해 보조될 수 있다.
패밀리 (1) 내지 패밀리 (4)의 전구체는 통상적으로, 하기 물질로부터 선택되는 공반응물(co-reactant)과 함께 사용될 수 있다:
- 산화규소 함유 막의 증착을 위한 O2, O3, H2O, H2O2, HCOOH, CO2, 이의 라디칼, 이온 및 이들의 혼합물,
- 질화규소 함유 막의 증착을 위한 N2, H2, NH3, 히드라진, 1차, 2차 또는 3차 아민, 디아민, 에탄올아민, 이의 라디칼, 이온 및 이들의 혼합물.
패밀리 (1) 내지 패밀리 (4)의 전구체는 규소 함유 막을 증착시키기 위해 다른 금속 또는 메탈로이드 휘발성 전구체와 함께 사용될 수 있다. 이러한 막의 예는 SiTiO, SiAlO, SiZrO, SiHfO, SiBO, SiPO, SiAsO, SiBPO, SiGeO, SiBN, SiAlN, SiTiN, CoSiN, NiSiN, TaSiN, WSiN을 포함하지만, 이로 제한되지 않으며, 이는 조성물이 전구체 및 리간드로부터 발생하는, 잠재적인 낮은 수준, 통상적으로, 5% 미만, 및 바람직하게는, 2% 미만의 탄소 불순물을 고려하지 않는 것으로 이해된다.
주기율표의 사실상 모든 원소의 다수의 휘발성 전구체는 공개되고 이용 가능하고, 통상적으로, 충분한 안정성 및 휘발성을 달성하기 위해 하기 리간드 중 적어도 하나 또는 리간드들의 조합을 포함한다: 수소, 할라이드(Cl, Br, I, F), 알킬, 알콕시, 디알킬아미노, 카르보닐, 시클로펜타디에닐 및 다른 디엔, 디아자디엔, 아미디네이트, 보로하이드라이드, 아미노보란, 이소시아네이트, 아세톡시, 알킬실록시, 실릴, 비스(트리알킬실릴)아미드.
NR1R2, N-C≡N, 또는 -Si-NH-Si와 같은 가수분해 가능한 작용기를 갖는, 패밀리 (1), (2) 및 (4)의 화합물은, 이러한 것이 표면 기판 상의 히드록실(-OH) 또는 -NH2 기와 반응하고 여기에 화학적으로 결합하기 위해 전구체에 대한 반응성 사이트를 제공하기 때문에, 산화규소 또는 질화규소 기반 막의 ALD 또는 PE-ALD를 위해 특히 적합하다. 이러한 것은 또한, 3 초과의 규소 원자의 수를 가지고, 이에 따라, 존재하는 분자에 대해 사이클 당 더 높은 성장을 산출할 것으로 예상된다.
모든 패밀리의 화합물은 또한, 질화규소 프리-세라믹(pre-ceramic)에 가까운 이의 높은 규소 함량 및 구조로 인하여, LPCVD, PECVD 및 FCVD를 위해 특히 적합하다.
이러한 화합물은 다소 낮은 증기압을 가지고, (증착 장치에서 전구체의 이슬점보다 더 낮은 온도에서 기판을 유지함으로써) 기판 상에서 축합(C-CVD)에 의한 증착을 위해 적합하다. 열적으로 축합된 조성물은 이후에, 축합된 실라잔 조성물을 실리카 막으로 전환시키기 위해, 기판 상에서의 조성물의 재-증발을 피하기 위한 가능하게 여러 단계에서, 바람직하게는, 0℃ 내지 900℃, 더욱 바람직하게는, 300℃ 내지 800℃의 온도에서, 산화 대기, 예를 들어, O2, O3, 스팀, 또는 H2O2 증기, 이들의 혼합물 및 플라즈마에 대한 노출에 의해 추가로 처리될 수 있다. 이러한 공정은 미세한 트렌치 또는 홀에서 유전체 막을 증착시키는 데(갭 충전) 특히 유용하다.
유사하게, 막은 단쇄 폴리실라잔 전구체의 큰 사슬 폴리실라잔 및 SiN 프리-세라믹으로의 전환을 위해 바람직하게는, 100℃ 내지 1100℃, 더욱 바람직하게는, 300℃ 내지 900℃의 온도에서 질화 대기(N2, NH3, 히드라진, 및 이의 플라즈마)에 노출될 수 있다.
패밀리 1 내지 4의 화합물, 및 바람직하게는, 패밀리 1 및 2의 완전 C-부재 화합물은 또한, 액체상 증착, 예를 들어, 스핀 코팅, 딥 코팅, 또는 분무 코팅을 위한 포뮬레이션에서, 또는 이러한 포뮬레이션의 합성을 위한 중간체 및 구성성분으로서 유용할 수 있다. 구성성분으로서, 이러한 화합물은 일부 Si-H 결합을 더욱 반응성인 알콕시 또는 알킬아미노 결합으로 전환시키기 위해 아민 또는 알코올로 작용화된, 실라잔의 분자량을 증가시키도록 중합될 수 있다. 이러한 것은 바람직하게는, 촉매의 존재 하에서 하이드로실릴화에 의해 Si-C 결합을 형성하기 위해 C=C, C=N 또는 C=O 불포화를 함유한 화합물과 반응될 수 있다. 이러한 것은 Si 원자들 사이에 실라잔 브릿지를 생성하기 위해 암모니아, 아민 또는 폴리아민과 반응될 수 있다.
실시예
하기 실시예는 본원의 개시내용과 함께 수행되는 실험을 예시한다. 하기 실시예는 모두 포함하는 것으로 의도되지 않고, 본원에 기술된 개시내용의 범위를 제한하는 것으로 의도되지 않는다.
글로브 박스(glove box) 내부에서, 0.35 g(2.7 mmol)의 (H3Si)2-N-SiH2Cl(TSA-Cl)*을 0.39 g의 펜탄과 혼합하였다. 혼합물을 0.5 g의 에테르 중 0.1 g(2.4 mmol)의 시안아미드(H2N-CN)에 첨가하였다. 백색 침전이 즉시 발생하였다. 용액을 2회 여과하였다. 2회 모두, 초기에 투명한 여액이 흐리게 변하였다.
추정된 반응은 하기와 같다: 2(SiH3)2NSiH2-Cl + 4H2N-CN → [(SiH3)2NSiH2]2-NCN + 2H2N-CN*HCl
가스 크로마토그래피(GC) 분석에서는 모노클로로실란, 에테르 및 펜탄, 미반응된 TSA-Cl, (SiH3)2NSiH2-N-C≡N-SiH3, 또는 [(SiH3)2NSiH2]2-N-C≡N(TSA2-시안아미드)의 존재를 나타내었다. 여러 다른 더 작은 확인되지 않은 피크가 존재하였다. 혼합물의 GC 스펙트럼은 도 1에 도시되어 있다. 당업자는 적합한 증류 컬럼이 (H3Si)2-N-SiH2-NCN-SiH3으로부터 TSA2-시안아미드를 단리시킬 수 있음을 인식할 것이다.
*는 GC에 의해 측정한 경우에 약 85%의 초기 순도를 갖는다. 본 출원인은 도1 에 도시된 모노클로로실란이 TSA-Cl 반응물에서 불순물인 것이라고 여긴다.
본 발명의 구현예가 도시되고 기술되었지만, 이의 변형예는 본 발명의 사상 또는 교시에서 벗어나지 않으면서 당업자에 의해 이루어질 수 있다. 본원에 기술된 구현예는 단지 예시적인 것으로서, 제한적인 것은 아니다. 조성물 및 방법의 많은 변형예 및 개질예가 본 발명의 범위 내에서 가능하다. 이에 따라, 보호 범위는 본원에 기술된 구현예로 제한되지 않고, 하기의 청구범위에 의해서만 제한되며, 이의 범위는 청구항의 대상의 모든 균등물을 포함할 것이다.

Claims (11)

  1. 하기 화학식 (a) 및 (b)로 이루어진 군으로부터 선택된 전구체를 포함하는 규소-함유 막 형성 조성물:
    (a) [(SiR3)2NSiH2]m-NH2-m-C≡N(여기서, m은 1 또는 2임); 및
    (b) [(SiR3)2NSiH2]3N;
    (상기 식에서,
    - 각 R은 독립적으로, H, 화학식 -NR1R2를 갖는 디알킬아미노 기, 또는 아미디네이트로부터 선택되며,
    - R1 및 R2는 독립적으로, H 또는 C1-C12 히드로카르빌 기로부터 선택되며, 단, R1 및 R2는 동시에 H일 수 없으며, R1이 H인 경우에, R2는 C2-C12 히드로카르빌 기이며, NR1R2는 함께 N-함유 헤테로시클릭 리간드를 형성할 수 있음).
  2. 제1항에 있어서, 전구체가 [(SiH3)2NSiH2]-NH-C≡N 또는 [(SiH3)2NSiH2]2N-C≡N인 규소-함유 막 형성 조성물.
  3. 제1항에 있어서, 전구체가 [(SiH3)2NSiH2]3N인 규소-함유 막 형성 조성물.
  4. 화학적 기상 증착 방법에 의해 기판 상에 규소-함유 막을 증착시키는 방법으로서,
    기판을 함유한 반응기 내에, 제1항 내지 제3항 중 어느 한 항의 Si-함유 막 형성 조성물을 포함하는 증기를 도입하는 단계;
    화학적 기상 증착 공정을 이용하여 기판 상에 규소-함유 막을 형성하기 위해 기판 상에 전구체의 적어도 일부를 증착시키는 단계를 포함하는 방법.
  5. 제4항에 있어서, 화학적 기상 증착 방법이 원자층 증착 공정 또는 플라즈마 강화 원자층 증착 공정인 증착 방법.
  6. 제4항에 있어서, 규소-함유 막이 산화규소 막인 증착 방법.
  7. 제4항에 있어서, 규소-함유 막이 질화규소 막인 증착 방법.
  8. 제4항에 있어서, 기판이 실리콘 웨이퍼인 증착 방법.
  9. 제4항에 있어서, 기판이 유리인 증착 방법.
  10. 제4항에 있어서, 기판이 유기 물질인 증착 방법.
  11. 삭제
KR1020197018636A 2016-12-11 2017-12-11 박막 증착을 위한 짧은 무기 트리실릴아민-기반 폴리실라잔 KR102511289B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662432666P 2016-12-11 2016-12-11
US62/432,666 2016-12-11
US15/661,576 US10192734B2 (en) 2016-12-11 2017-07-27 Short inorganic trisilylamine-based polysilazanes for thin film deposition
US15/661,576 2017-07-27
PCT/US2017/065506 WO2018107138A1 (en) 2016-12-11 2017-12-11 Short inorganic trisilylamine-based polysilazanes for thin film deposition

Publications (2)

Publication Number Publication Date
KR20190093597A KR20190093597A (ko) 2019-08-09
KR102511289B1 true KR102511289B1 (ko) 2023-03-20

Family

ID=60243685

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197018636A KR102511289B1 (ko) 2016-12-11 2017-12-11 박막 증착을 위한 짧은 무기 트리실릴아민-기반 폴리실라잔

Country Status (6)

Country Link
US (1) US10192734B2 (ko)
JP (1) JP2020507199A (ko)
KR (1) KR102511289B1 (ko)
CN (1) CN110036139B (ko)
TW (1) TWI791477B (ko)
WO (1) WO2018107138A1 (ko)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
CN111902359A (zh) 2018-02-21 2020-11-06 乔治洛德方法研究和开发液化空气有限公司 全氢聚硅氮烷组合物和用于使用其形成氧化物膜的方法
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121897A1 (de) * 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
JP2023512674A (ja) * 2020-01-31 2023-03-28 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、これを含むシリコン含有膜形成用組成物及びシリコン含有膜形成方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US20210280451A1 (en) * 2020-03-04 2021-09-09 Applied Materials, Inc. Low temperature steam free oxide gapfill
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
WO2016160990A1 (en) * 2015-03-30 2016-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions and methods of using the same

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2007000186A1 (en) 2005-06-29 2007-01-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition method of ternary films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
WO2007112780A1 (en) 2006-04-03 2007-10-11 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
KR101097112B1 (ko) 2006-11-02 2011-12-22 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
EP2288424A2 (en) 2008-05-21 2011-03-02 The Regents of the University of Colorado Ionic liquids and methods for using the same
WO2009142663A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
WO2010055423A2 (en) 2008-05-29 2010-05-20 L'air Liquide - Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Tellurium precursors for film deposition
KR101551162B1 (ko) 2009-06-04 2015-09-08 볼타익스, 엘엘씨 트리실릴아민의 제조를 위한 장치 및 방법
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
CN102687243B (zh) 2009-10-26 2016-05-11 Asm国际公司 用于含va族元素的薄膜ald的前体的合成和使用
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3590888B1 (en) 2011-10-07 2022-02-16 Air Liquide Advanced Materials LLC Apparatus and method for the condensed phase production of trisilylamine
WO2013082409A1 (en) 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated polymer films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
US20160049293A1 (en) 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
CN114231947A (zh) 2016-02-26 2022-03-25 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
WO2016160990A1 (en) * 2015-03-30 2016-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions and methods of using the same

Also Published As

Publication number Publication date
CN110036139A (zh) 2019-07-19
JP2020507199A (ja) 2020-03-05
US10192734B2 (en) 2019-01-29
KR20190093597A (ko) 2019-08-09
TWI791477B (zh) 2023-02-11
US20170323783A1 (en) 2017-11-09
WO2018107138A1 (en) 2018-06-14
CN110036139B (zh) 2021-12-07
TW201829830A (zh) 2018-08-16

Similar Documents

Publication Publication Date Title
KR102511289B1 (ko) 박막 증착을 위한 짧은 무기 트리실릴아민-기반 폴리실라잔
US11699584B2 (en) Si-containing film forming precursors and methods of using the same
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
EP2875166B1 (en) Organosilane precursors for ald/cvd silicon-containing film applications
JP6578353B2 (ja) Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
JP2020507199A5 (ko)
JP6732782B2 (ja) アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング
US20190256532A1 (en) Alkylamino-substituted carbosilane precursors
KR20170109530A (ko) Ald/cvd 규소-함유 필름 적용을 위한 오르가노실란 전구체 및 이의 사용 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant