TWI791477B - 形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法 - Google Patents

形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法 Download PDF

Info

Publication number
TWI791477B
TWI791477B TW106141626A TW106141626A TWI791477B TW I791477 B TWI791477 B TW I791477B TW 106141626 A TW106141626 A TW 106141626A TW 106141626 A TW106141626 A TW 106141626A TW I791477 B TWI791477 B TW I791477B
Authority
TW
Taiwan
Prior art keywords
silicon
containing film
sih
composition
forming
Prior art date
Application number
TW106141626A
Other languages
English (en)
Other versions
TW201829830A (zh
Inventor
安東尼奧 桑切斯
根納帝 伊托伏
曼尼席 坎德維爾
鵬 張
珍 馬克 吉拉德
雷諾 佩沙雷西
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201829830A publication Critical patent/TW201829830A/zh
Application granted granted Critical
Publication of TWI791477B publication Critical patent/TWI791477B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭示用於高純度薄膜沉積的無Si-C揮發性矽氮烷前驅物。

Description

形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法 【相關申請案之交叉引用】
本申請案主張2017年7月27日申請之美國申請案第15/661,576號,及2016年12月11日申請之美國臨時申請案第62/432,666號的權益,兩者出於所有目的以全文引用的方式併入本文中。
揭示形成含矽膜之組成物,其包含無Si-C的揮發性含矽氮烷前驅物。組成物可用於沉積高純度薄膜。
隨著半導體裝置按比例縮小,需要新材料。如氮化矽或氧化矽之常見材料需要在愈加苛刻的條件下進行沉積。舉例而言,氮化矽沉積之一般趨勢為在最低可能溫度下進行化學氣相沉積(Chemical Vapor Deposition,CVD)或原子層沉積(Atomic Layer Deposition,ALD),同時保持高沉積速率、高膜品質。關於該等製程,前驅物分子對獲得具有低雜質且具有適合保形性特性(自一些應用之高度保形至其他應用之自底部向上填滿)的高品質膜起關鍵作用。
Sanchez等人之美國專利申請公開案第2015/047914號揭示無鹵素的胺經取代的三矽基胺及三二矽基胺化合物以及其製備方法,其係經由相應未經取代之三矽基胺與胺之間,藉由過渡金屬催化劑催化的脫氫偶合來製備。
Girard等人之US2015/376211揭示單取代之TSA前驅物、形成含矽膜之組成物。
Li等人之US2016/0049293揭示藉由提供額外介電薄膜密封多孔低介電常數層之孔的方法及包含其之組成物。
Li等人之US2016/0225616揭示包含複數個含矽層的設備,其中含矽層選自氧化矽及氮化矽層或膜。
Lei等人之WO2016/065221揭示組成物及使用該等組成物形成含矽膜或含矽材料的方法。
已知缺乏Si-C直接鍵之分子產生的膜比具有該等直接鍵之分子產生的膜純,因為Si-C鍵之反應性低且熱穩定性高。
此外,藉由原子層沉積,具有烷氧基之矽烷很少展現恰當的自限制生長,且因為氧正常依然留在膜中,所以不允許形成氮化矽膜,且因此在可能的薄膜沉積應用方面不如具有Si-N鍵之胺基矽烷通用。然而,雖然烷氧基沒有表現為適合原子層沉積中之表面反應的官能基,但是已提出且可使用具有Si-O-Si(矽氧烷)橋鍵的無Si-C分子。
已提出且在工業上用於氧化矽及氮化矽薄膜沉積的典型無Si-C矽烷前驅物為
a- 鹵矽烷,諸如二氯矽烷、單氯矽烷、六氯二矽烷、八氯三矽烷、二 碘矽烷、五氯二矽烷等
b- 全氫化(聚)矽烷,諸如SiH4、Si2H6或Si3H8
c- 具有通式SiHx(NR1R2)4-x之胺基矽烷,諸如雙-二乙胺基矽烷、參-二甲胺基矽烷、二異丙胺基矽烷、雙(乙基甲胺基)矽烷、肆(乙胺基)矽烷
d- 胺基-二矽烷,諸如六(乙胺基)二矽烷、二異丙胺基矽烷、二乙胺基二矽烷。
e- 矽氧烷,諸如二矽氧烷、六氯二矽氧烷
f- 三矽基胺,其可用於各種沉積製程,諸如可流動CVD、熱低壓CVD、電漿增強CVD、ALD及電漿增強ALD。
g- 最近,已提出其他富矽分子,諸如TSA-Cl或BDSASi。舉例而言,已報道BDSASi藉由PEALD產生每個週期高生長的SiN。
然而,仍在尋求在維持高膜純度的同時,能夠藉由無論是ALD、CVD、可流動CVD或其他形式之氣相沉積來在低溫下實現較高生長速率的分子,以進一步獲得製程生產率,或能夠在比正常前驅物低的溫度條件下沉積。
揭示形成含矽膜之組成物。形成含矽膜之組成物包含選自由以下組成之群的前驅物:
(a)[(SiR3)2NSiH2]n-NL3-n,其中n=2或3;
(b)(SiH3)2NSiH2-O-SiH2N(SiH3)2
(c)(SiR'3)2N-SiH2-N(SiR'3)2;及
(d)[(SiR3)2NSiH2]m-NH2-m-C≡N,其中m=1或2; 其中- 各R獨立地選自H、具有式-NR1R2之二烷基胺基或脒基(amidinate),- 各R'獨立地選自H、具有式-NR1R2之二烷基胺基或脒基,其條件為所有R'不為H,- R1及R2獨立地選自H或C1-C12烴基,其條件為R1及R2不能同時等於H,且若R1為H,則R2為C2-C12烴基,且NR1R2可一起形成含N雜環配位基,且- L選自H或C1-C6烴基。
所揭示之形成含矽膜之組成物可包含以下態樣中之一或多者:˙前驅物為[(SiH3)2NSiH2]2NH;˙前驅物為[(SiH3)2NSiH2]3N;˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NR1R2);˙前驅物為(SiH3)2NSiH2-O-SiH2N(SiH3)2;˙前驅物為[(SiH3)2NSiH2]-NH-C≡N;˙前驅物為[(SiH3)2NSiH2]2N-C≡N;˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NMe2);˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NEt2);˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NEtMe);˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NiPr2);˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NtBu2);˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NnBu2); ˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NsecBu2);˙前驅物為(SiH3)2N-SiH2-N(SiH3)(SiH2NHtBu);˙形成含矽膜之組成物包含大約95% w/w與大約100% w/w之間的前驅物;˙形成含矽膜之組成物包含大約5% w/w與大約50% w/w之間的前驅物;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Al;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的As;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Ba;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Be;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Bi;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Cd;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Ca;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Cr;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Co;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Cu;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Ga;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Ge;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Hf;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Zr;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的In;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Fe; ˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Pb;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Li;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Mg;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Mn;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的W;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Ni;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的K;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Na;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Sr;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Th;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Sn;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Ti;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的U;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的V;˙形成含矽膜之組成物包含大約0ppbw與大約500ppbw之間的Zn;˙形成含矽膜之有機矽烷組成物包含大約0ppmw與大約500ppmw之間的Cl;˙形成含矽膜之組成物包含大約0ppmw與大約500ppmw之間的Br;˙形成含矽膜之組成物包含大約0ppmw與大約500ppmw之間的I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的TSA;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的(SiH3)2-N-SiH2X,其中X為Cl、Br或I; ˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的(SiH3)2-N-SiHX2,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的SiH4;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的SiH3X,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的SiH2X2,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的SnX2,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的SnX4,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的HX,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的NH3;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的NH4X,其中X為Cl、Br或I;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的ROH,其中R為C1-C4烷基;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的NH2R,其中R為C1-C4烷基;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的NR2H,其中R為C1-C4烷基; ˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的HN=R,其中R為C1-C4烷基;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的四氫呋喃(THF);˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的乙醚;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的戊烷;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的環己烷;˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的庚烷;或˙形成含矽膜之組成物包含大約0.0% w/w與0.1% w/w之間的甲苯。
揭示藉由化學氣相沉積法將含矽膜沉積於基板上的方法。將以上所揭示之形成含矽膜之組成物中之任一者的蒸氣引入含有基板之反應器中。使用化學氣相沉積製程,將前驅物之至少一部分沉積於基板上,於基板上形成含矽膜。所揭示之方法可包括以下態樣中之一或多者:˙化學氣相沉積法為原子層沉積製程;˙化學氣相沉積法為電漿增強原子層沉積製程;˙將包含第二前驅物之蒸氣引入反應器中;˙第二前驅物之元素選自由第2族、第13族、第14族、過渡金屬、鑭系元素及其組合組成之群;˙第二前驅物之元素選自As、B、P、Si、Ge、Al、Zr、Hf、Ti、Nb、Ta或鑭系元素; ˙將反應物引入反應器中;˙反應物選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、羧酸、醇、二醇、其基團及其組合;˙反應物為經電漿處理之氧;˙含矽膜為氧化矽膜;˙含矽膜為氮化矽膜;˙基板為矽晶圓;˙基板為玻璃;˙基板為有機材料;˙對含矽層進行熱退火;˙在反應氣氛下對含矽層進行熱退火;˙對含矽層進行UV固化;及˙對含矽層進行電子束固化。
記法及命名法
某些縮寫、符號及術語貫穿以下說明書及申請專利範圍使用且包括:如本文所用,不定冠詞「一(a/an)」意謂一或多個。
如本文所用,術語「大約」或「約」意謂所述值之±10%。
如本文所用,術語「獨立地(independently)」在描述R基團之上下文中使用時,應理解為表示目標R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,而且相對於該相同R基團之任何其他物種獨立地選擇。舉例而言,在式MR1 x(NR2R3)(4-x)中,其中x為2或 3,兩個或三個R1基團可彼此相同或與R2或R3相同,但不一定如此。另外,應理解,除非另外特定陳述,否則當用於不同式中時R基團之值彼此獨立。
如本文所用,術語「烴基(hydrocarbyl group)」係指含有碳及氫之官能基;術語「烷基(alkyl group)」係指僅含有碳及氫原子之飽和官能基。烴基可為飽和或不飽和的。任一術語係指直鏈、分支鏈或環基。直鏈烷基之實例包括(但不限於)甲基、乙基、丙基、丁基等。分支鏈烷基之實例包括(但不限於)第三丁基。環烷基之實例包括(但不限於)環丙基、環戊基、環己基等。
如本文所用,「無碳(C-free)」意謂起始反應物沒有Si-C鍵。
如本文所用,縮寫「Me」係指甲基;縮寫「Et」係指乙基;縮寫「Pr」係指丙基;縮寫「nPr」係指「正(normal)」丙基或直鏈丙基;縮寫「iPr」係指異丙基;縮寫「Bu」係指丁基;縮寫「nBu」係指「正(normal)」丁基或直鏈丁基;縮寫「tBu」係指第三丁基,亦稱為1,1-二甲基乙基;縮寫「sBu」係指第二丁基,亦稱為1-甲基丙基;縮寫「iBu」係指異丁基,亦稱為2-甲基丙基;術語「戊基(amyl)」係指戊基(amyl)或戊基(pentyl)(亦即,C5烷基);術語「第三戊基(tAmyl)」係指第三戊基(tert-amyl),亦稱為1,1-二甲基丙基。
術語「鹵化物(halide)」係指鹵素陰離子F-、Cl-、Br-及I-;術語「矽基(silyl)」係指R3Si-配位基,其中各R獨立地為H或C1-C4烷基。術語「鹵化物鹽(halide salt)」係指含有鹵離子之離子化合物。
如本文所用,縮寫「NR,R' R"-amd」或R=R'時之NR R"-amd係指脒基配位基[R-N-C(R")=N-R'],其中R、R'及R"為H或已定義之烷基, 諸如Me、Et、nPr、iPr、nBu、iBu、sBu或tBu;縮寫「NR,R'-fmd」或R=R'時之NR-fmd係指甲脒基(formamidinate)配位基[R-N-C(H)=N-R'],其中R及R'為已定義之烷基,諸如Me、Et、nPr、iPr、nBu、iBu、sBu或tBu。總體而言,將脒基系列(family)中所選之配位基縮寫為「AMD」。
Figure 106141626-A0305-02-0013-2
本文中使用元素週期表之元素的標準縮寫。應理解,可用此等縮寫來表示元素(例如Mn係指錳,Si係指矽,C係指碳,等等)。此外,第3族係指週期表之第3族(亦即,Sc、Y、La或Ac)。同樣地,第4族係指週期表之第4族(亦即,Ti、Zr或Hf)且第5族係指週期表之第5族(亦即,V、Nb或Ta)。
本文所列舉的任何及所有範圍均包括其端點(亦即,x=1至4或x在1至4之範圍內包括x=1,x=4,及x=其間的任何數值),無論是否有使用術語「包括端點(inclusively)」。
請注意,所沉積之膜或層,諸如氧化矽或氮化矽,可貫穿說明書及申請專利範圍列出,而不提及其正確的化學計算量(亦即,SiO2、SiO3、Si3N4)。層可包括純(Si)層、碳化物(SioCp)層、氮化物(SikNl)層、氧化物(SinOm)層或其混合物,其中k、l、m、n、o及p在1至6之範圍內,包括端點。舉例而言,氧化矽為SinOm,其中n在0.5至1.5之範圍內且m在1.5至3.5之範圍內。更佳地,氧化矽層為SiO2或SiO3。此等 膜亦可含有氫,典型地0at%至15at%。然而,因為不是常規地量測,所以除非另外明確地規定,否則所給出的任何膜組成均忽略其H含量。
基板理解為要在上面沉積膜的主要固體材料。應理解,膜可沉積於本身就在基板上之層堆疊之上。基板典型地(但不限於)為矽、玻璃、石英、藍寶石、GaN、AsGa、Ge之晶圓。基板可為薄板,典型地金屬、玻璃、有機材料之薄板,如聚碳酸酯、PET、ABS、PP、HDPE、PMMA等。基板可為類似材料之三維(three-dimensional,3D)物體。在矽晶圓上,在基板上之典型的層可為Ge、SiGe、氧化矽、氮化矽、金屬(諸如Cu、Co、Al、W、Ru、Ta、Ti、Ni)、金屬矽化物及合金、金屬氮化物,諸如TaN、TiN、VN、NbN、HfN、VN;摻碳二氧化矽膜,無論是緻密的或多孔的,碳氮化矽、非晶形碳、氮化硼、碳氮化硼、有機材料,諸如旋塗碳、聚醯亞胺、光阻劑及抗反射層;金屬氧化物,諸如Ti、Hf、Zr、Ta、Nb、V、Mo、W、Al之氧化物,及鑭系元素。基板可具有如孔或溝槽之表面形態,典型地具有在5nm至100μm範圍內且通常在20nm與1μm之間的開口,及至多1:1000,更通常在1:2至1:100範圍內的縱橫比。
揭示形成含矽膜之組成物,其包含至少具有三矽基胺主鏈的短鏈(Si在3至10之範圍內)寡聚矽氮烷,三矽基胺主鏈選自以下系列:
(1)[(SiR3)2NSiH2]n-NL3-n,其中n=2或3
(2)(SiH3)2NSiH2-O-SiH2N(SiH3)2
(3)(SiR'3)2N-SiH2-N(SiR'3)2
(4)[(SiR3)2NSiH2]m-NH2-m-C≡N,其中m=1或2
其中- 各R獨立地選自H、具有式-NR1R2之二烷基胺基或脒基;- 各R'獨立地選自H、具有式-NR1R2之二烷基胺基或脒基,其條件為所有R'不為H,- R1及R2獨立地選自H或C1-C12烴基,其條件為R1及R2不能同時等於H,且若R1為H,則R2為C2烴基或更長鏈烴基,- NR1R2可形成含N雜環配位基,且- L選自H或C1-C6烴基。
以上化合物之較佳具體實例包括:
- 系列(1)之分子,其中所有R=H,L=H且n=2:[(SiH3)2NSiH2]2NH
- 系列(1)之分子,其中所有R=H,L=C1-C6烴基且n=2:[(SiH3)2NSiH2]2NL
○以上分子,其中L選自甲基、乙基、異丙基、正丙基、第三丁基、第二丁基、正丁基、己基、乙烯基、烯丙基,
- 系列(1)之分子,其中所有R=H且n=3:[(SiH3)2NSiH2]3N
- 系列(3)之分子,其中除一個R'=H及一個R'為NR1R2之外全都如上文所定義:(SiH3)2N-SiH2-N(SiH3)(SiH2N(R1R2))
○以上分子,其中R1=R2=Et
○以上分子,其中R1=R2=iPr
○以上分子,其中R1=R2=Me
○以上分子,其中R1=Me且R2=Et
○以上分子,其中R1=R2=tBu
○以上分子,其中R1=R2=n-Bu
○以上分子,其中R1=R2=secBu
○以上分子,其中R1=H且R2=tBu
○以上分子,其中NR1R2為吡咯、吡咯啶、哌啶、咪唑或氮丙啶
- 系列(4)之分子,為[(SiH3)2NSiH2]2N-C≡N
- 系列(4)之分子,為[(SiH3)2NSiH2]-NH-C≡N
此外,本發明包含自以下合成方法合成系列(1)、(2)、(3)及(4)之化合物:
系列1
(1)根據以下反應,(SiR3)2NSiH2-X與一級胺NH2L反應,X選自Cl、Br、I、SCN或NCO:n(SiR3)2NSiH2-X+NH2L→[(SiR3)2NSiH2]n-NL3-n+(n+1)NH3LX(鹽)
- 該反應較佳在無水及非質子性溶劑或溶劑混合物中進行,諸如(但不限於)C3-C24烴溶劑、甲苯、苯、乙醚、乙腈或四氫呋喃(THF)。
- 該反應在-40℃與100℃之間的溫度下,較佳在室溫下進行。
- 視情況,自反應混合物過濾所形成之鹽且藉由蒸餾分離剩餘液體組成物之組分。
- 視情況,藉由蒸餾純化系列(1)之化合物以達到>98%、更佳或>99%且甚至更佳>99.5%的分析,其於半導體級前驅物而言係典型的。
- 視情況,可進一步處理系列(1)之產物以減少所溶解之NH3LX鹽的含量,例如藉由將產物暴露於固體吸附劑中,諸如活性碳、經乾燥之Amberlyst樹脂或其他此類離子交換樹脂。
- 視情況,可過濾產物以達到半導體行業中所用產品的典型規範。
- (SiR3)2NSiH2-X反應物可如同在申請中美國專利申請公開案第2015/0376211號中所揭示般合成,更具體而言係藉由SnX4+N(SiR3)3→N(SiR3)2(SiR2X)+SnX2↓+HXl,其中X為Cl、Br或I(參見J.Chem.Soc.Dalton Trans.1975,第1624頁)。或者,二鹵基矽烷[SiR2X2,其中X為Cl、Br或I]及單鹵基矽烷[SiR3X,其中X為Cl、Br或I]可在室溫下、以1/20至¼比率、與400sccm之NH3一起以氣相連續引入流過式管式反應器中,如Miller在美國專利第US 8,669,387號中所述。NH3與2當量單鹵基矽烷反應,主要產生二矽基胺(disilylamine,DSA)。DSA接著與二鹵基矽烷反應形成(SiH3)2-N-SiH2X及HX,其中X為Cl、Br或I。一般技術者將認識到,反應可以一個或兩個步驟發生(首先自單鹵基矽烷及NH3形成DSA且其次添加二鹵基矽烷)或以一個步驟發生(在一個步驟中組合單鹵基矽烷、二氯矽烷及NH3)。
(2)根據以下反應,(SiR3)2NSiH3與NH2L在催化劑存在下直接脫氫偶合反應,如美國專利申請公開案第2015/0094470號中所述:n(SiR3)2NSiH3+NH2L→[(SiR3)2NSiH2]n-NL3-n+H2
- 反應係進行或純的或在非質子性溶劑中,非質子性溶劑諸如(但不限於)C3-C24烴溶劑、甲苯、苯、乙醚、乙腈或THF。
- 該反應在室溫與150℃之間的溫度下,較佳在30-60℃下進行。
- 視情況,自反應混合物過濾催化劑且藉由蒸餾分離剩餘液體組成物之組分。
- 視情況,用使催化劑去活化的試劑處理反應混合物,該試劑選自(但 不限於)三級胺或配位化合物,諸如XNR4(X=F、Cl、Br、I;R=烷基)、R-CN、R2S或PR3
- 視情況,藉由蒸餾純化系列(1)之化合物以達到>98%、更佳或>99%且甚至更佳>99.5%的分析,其於半導體級前驅物而言係典型的。
- 視情況,可過濾產物以達到半導體行業中所用產品的典型規範。
系列2
(3)根據以下反應,(SiH3)2NSiH2-X與H2O反應物反應,其中X選自Cl、Br、I、SCN、NCO或NR1R2基團,且NR1R2如上文所定義:2(SiH3)2NSiH2-X+H2O→(SiH3)2NSiH2-O-SiH2N(SiH3)2+2HX
- 該反應較佳在無水或非質子性溶劑或溶劑混合物中進行,諸如(但不限於)C3-C24烴溶劑、甲苯、苯、乙醚、乙腈或THF。
- 將H2O緩慢添加至含有矽烷之組成物中以在整個反應過程中維持矽烷部分持續過量。
- 該反應在-40℃與100℃之間的溫度下,較佳在-20℃至室溫之間的溫度下進行。
- 視情況,將水以載氣中之蒸氣形式添加在液面下
- 視情況,添加在極性非質子性溶劑中稀釋的水,典型地1%至50%,更佳5%至30%
- 視情況且優先地,當X為鹵素時,包含鹵化物清除劑之反應混合物的量以莫耳計高於所期望釋放的HX,鹵化物清除劑諸如(但不限於)吡啶、三烷基胺。鹵化物清除劑可用作溶劑。可接著過濾反應混合物以移除所形成之HX-清除劑鹽,隨後進行最終產物分離。
- 視情況,藉由真空蒸餾純化系列(2)之化合物以達到>98%、更佳或>99%且甚至更佳>99.5%的分析,其於半導體級前驅物而言係典型的。
- 視情況,可進一步處理系列(2)之產物以減少所溶解之鹽的含量,例如藉由將產物暴露於固體吸附劑中,諸如活性碳、經乾燥之Amberlyst樹脂或其他此類離子交換樹脂。
- 視情況,可過濾產物以達到半導體行業中所用產品的典型規範。
系列3
系列3之化合物優先根據如美國專利申請公開案第2015/0094470號中所述相同的方案,藉由脫氫偶合自(SiH3)2N-SiH2-N(SiH3)2(BDSASi)與胺的直接反應合成。
SiH2[N(SiH3)2]2+n HNR1R2→SiH2[N(SiH3-x(NR1R2)x][SiH3-y(NR1R2)y]+(x+y)H2
其中x=0至3,y=1至3
- 反應係純的或在非質子性溶劑中進行,非質子性溶劑諸如(但不限於)C3-C24烴溶劑、甲苯、苯、乙醚、乙腈或THF。
- 該反應在室溫與150℃之間的溫度下,較佳在30-60℃下進行。
- 視情況,自反應混合物過濾催化劑且藉由蒸餾分離剩餘液體組成物之組分。
- 視情況,用使催化劑去活化的試劑處理反應混合物,該試劑選自(但不限於)三級胺或配位化合物,諸如XNR4(X=F、Cl、Br、I;R=烷基)、R-CN、R2S、PR3
- 視情況,藉由蒸餾純化系列(3)之化合物以達到>98%、更佳或>99% 且甚至更佳>99.5%的分析,其於半導體級前驅物而言係典型的。
- 視情況,可過濾產物以達到半導體行業中所用產品的典型規範。
- (SiR3)2NSiH2-X反應物可如同在申請中美國專利申請申請案第62/432,592號中所揭示般合成,更具體而言藉由混合三矽基胺與催化劑,諸如B(C6F5)3、BPh3、PdCl2、Co2(CO)8或沸石Y(H)Si:Al,不需要NH3反應物或加熱。
系列4
根據以下反應,(SiR3)2NSiH2-X與氰胺基H2N-C≡N反應,X選自Cl、Br、I、SCN或NCO:m(SiR3)2NSiH2-X+(m+1)H2N-C≡N→[(SiR3)2NSiH2]mH2-mN-C≡N+m XH3N-C≡N(鹽)
- 該反應較佳在無水及非質子性溶劑或溶劑混合物中進行,諸如(但不限於)C3-C24烴溶劑、甲苯、苯、乙醚、乙腈或THF。
- 該反應在-40℃與100℃之間的溫度下,較佳在室溫下進行。
- 視情況,自反應混合物過濾所形成之鹽且藉由蒸餾分離剩餘液體組成物之組分。
- 視情況,藉由真空蒸餾純化系列(4)之化合物以達到>98%、更佳或>99%且甚至更佳>99.5%的分析,其於半導體級前驅物而言係典型的。
- 視情況,可進一步處理系列(4)之產物以減少所溶解之XH3N-C≡N鹽的含量,例如藉由將產物暴露於固體吸附劑中,諸如活性碳、經乾燥之Amberlyst樹脂或其他此類離子交換樹脂。
為了確保製程可靠性,所揭示之形成含矽膜之組成物在使用 之前可藉由連續或分批式分餾法純化至純度在大約95% w/w至大約100% w/w之範圍內,較佳在大約98% w/w至大約100% w/w之範圍內。一般技術者將認識到,純度可藉由H NMR或氣相或液相層析聯合質譜分析測定。形成含矽膜之組成物可含有以下雜質中之任一者:鹵化物(X2)、三矽基胺、單鹵基三矽基胺、二鹵基三矽基胺、SiH4、SiH3X、SnX2、SnX4、HX、NH3、NH3X、單氯矽烷、二氯矽烷、醇、烷基胺、二烷基胺、烷基亞胺、THF、乙醚、戊烷、環己烷、庚烷或甲苯,其中X為Cl、Br或I。此等雜質之總量較佳低於0.1% w/w。經純化之組成物可藉由再結晶、昇華、蒸餾及/或使氣體或液體通過適合吸附劑產生,適合吸附劑諸如4A分子篩或基於碳之吸附劑(例如活性碳)。
經純化之單取代TSA前驅物組成物中之各溶劑(諸如THF、乙醚、戊烷、環己烷、庚烷及/或甲苯)的濃度可在大約0% w/w至大約5% w/w之範圍內,較佳在大約0% w/w至大約0.1% w/w之範圍內。溶劑可用於前驅物組成物之合成中。若溶劑與前驅物組成物具有類似沸點,則可能難以分離溶劑與前驅物組成物。冷卻混合物可在液態溶劑中產生固體前驅物,其可藉由過濾分離。亦可使用真空蒸餾,限制條件為該前驅物組成物不加熱至大約高於其分解點。
所揭示之形成含矽膜之組成物含有小於5% v/v、較佳小於1% v/v、更佳小於0.1% v/v且甚至更佳小於0.01% v/v之其單、雙或參類似物或其他反應產物中的任一者。此具體實例可提供較佳製程重複性。此具體實例可藉由蒸餾形成含矽膜之組成物產生。
所揭示之形成含矽膜之組成物的純化亦可使得痕量金屬及 類金屬之濃度在大約0ppbw至大約500ppbw之範圍內,且更佳在大約0ppbw至大約100ppbw之範圍內。此等金屬或類金屬雜質包括(但不限於)鋁(Al)、砷(As)、鋇(Ba)、鈹(Be)、鉍(Bi)、鎘(Cd)、鈣(Ca)、鉻(Cr)、鈷(Co)、銅(Cu)、鎵(Ga)、鍺(Ge)、鉿(Hf)、鋯(Zr)、銦(In)、鐵(Fe)、鉛(Pb)、鋰(Li)、鎂(Mg)、錳(Mn)、鎢(W)、鎳(Ni)、鉀(K)、鈉(Na)、鍶(Sr)、釷(Th)、錫(Sn)、鈦(Ti)、鈾(U)、釩(V)及鋅(Zn)。經純化之單取代TSA前驅物組成物中之X(其中X=Cl、Br、I)的濃度可在大約0ppmw與大約100ppmw之範圍內且更佳在大約0ppmw至大約10ppmw之範圍內。
視情況,可過濾產物以達到半導體行業中所用產品的典型規範。
包含系列(1)至(4)之產品中之任一者的組成物中之任一者可用於含矽薄膜之化學氣相沉積(CVD),用於半導體、平板顯示器、光伏打應用且更一般而言用於基於矽之塗料。應理解,術語「CVD」涵蓋使氣相前驅物與基板接觸,在基板上沉積矽薄膜的所有具體實例。因此,術語CVD可意謂低壓化學氣相沉積(LPCVD)、次大氣壓化學氣相沉積(SA-CVD)、大氣壓化學氣相沉積(AP-CVD)、可流動化學氣相沉積(F-CVD)、原子層沉積(ALD)、分子層沉積(MLD)、脈衝化學氣相沉積(P-CVD)、流動調節化學氣相沉積(FM-CVD)。此等技術中之每一者可藉由前驅物或反應物活化技術輔助,諸如原位電漿(「電漿增強」,或PE)、遠程電漿(RP)、熱線(hot wire,HW)及光子(UV)。
系列(1)至(4)之前驅物可結合共反應物使用,共反應物 典型地將選自以下:
- 用於沉積含氧化矽膜之O2、O3、H2O、H2O2、HCOOH、CO2、其基團、離子及混合物
- 用於沉積含氮化矽膜之N2、H2、NH3、肼、一級、二級或三級胺、二胺、乙醇胺、其基團、離子及混合物
系列(1)至(4)之前驅物可結合另一金屬或類金屬揮發性前驅物使用來沉積含矽膜。該等膜之實例包括(但不限於)SiTiO、SiAlO、SiZrO、SiHfO、SiBO、SiPO、SiAsO、SiBPO、SiGeO、SiBN、SiAlN、SiTiN、CoSiN、NiSiN、TaSiN、WSiN,應理解,組成物不考慮可能存在的來自於前驅物及配位基的低含量碳雜質,典型地<5%且較佳<2%。
週期表中幾乎每一種元素都公開有多種揮發性前驅物且可供使用,且典型地包括以下配位基或配位基組合中之至少一者以達成足夠的穩定性及揮發性:氫、鹵化物(Cl、Br、I、F)、烷基、烷氧基、二烷基胺基、羰基、環戊二烯基及其他二烯、二氮雜二烯、脒基、硼氫化物、胺基硼烷、異氰酸酯、乙醯氧基、烷基矽烷氧基、矽基、雙(三烷基矽基)醯胺。
系列(1)、(2)及(4)之化合物具有可水解官能基,如NR1R2、N-C≡N或-Si-NH-Si,尤其適用於基於氧化矽或氮化矽之膜的ALD或PE-ALD,因為其為前驅物提供與基板表面上之羥基(-OH)或-NH2基反應的反應性位點且與其化學結合。其亦具有>3之矽原子數,因此可期望產生相對於現有分子而言較高的每個週期的生長。
所有系列之化合物由於其高矽含量及接近氮化矽陶瓷前體(pre-ceramic)之結構,亦尤其適用於LPCVD、PECVD及FCVD。
此等化合物具有相當低的蒸氣壓且適用於藉由冷凝沉積(C-CVD)於基板上(藉由在沉積設備中將基板維持在低於前驅物之露點的溫度下)。為了將經冷凝之矽氮烷組成物轉化為二氧化矽膜,熱冷凝組成物接著可進一步藉由較佳在0℃與900℃之間、更佳在300℃與800℃之間的溫度下暴露於諸如O2、O3、蒸汽或H2O2蒸氣、其混合物及電漿之氧化氣氛中來處理,可能按若干步驟進行以避免組成物於基板上再蒸發。該等製程尤其適用於將介電膜沉積於細小的溝槽或孔中(空隙填充)。
類似地,可將膜較佳在100℃與1100℃之間、更佳在300℃與900℃之間的溫度下暴露於氮化氣氛(N2、NH3、肼及其電漿)中,以將短鏈聚矽氮烷前驅物轉化為大鏈聚矽氮烷及SiN陶瓷前體。
系列1至4之化合物且較佳系列1及2之完全無C化合物亦可適用於供液相沉積之配製品,液相沉積諸如旋塗、浸塗或噴塗,或作為用於合成該等配製品之中間體及成分。作為成分,此等化合物可聚合以提高矽氮烷之分子量,用胺或醇官能化以將一些Si-H鍵轉換為反應性更大的烷氧基或烷胺基鍵。其可藉由矽氫化,較佳在催化劑存在下,與含有C=C、C=N或C=O不飽和度之化合物反應,形成Si-C鍵。其可與氨、胺或多元胺反應,在Si原子之間產生矽氮烷橋。
實施例
以下實施例說明結合本文中之揭示內容進行之實驗。該實施例並不意欲包括所有且並不意欲限制本文所述之發明範圍。
在手套工作箱內,混合0.35g(2.7mmol)的(H3Si)2-N-SiH2Cl(TSA-Cl)*與0.39g戊烷。向0.5g乙醚中之0.1g(2.4mmol)氰胺(H2N-CN) 中添加該混合物。立刻出現白色沉澱。將溶液過濾兩次。最初澄清的濾液兩次均變混濁。
假定反應為2(SiH3)2NSiH2-Cl+4H2N-CN→[(SiH3)2NSiH2]2-NCN+2 H2N-CN*HCl
氣相層析(Gas Chromatographic,GC)分析指示存在單氯矽烷、乙醚及戊烷、未反應的TSA-Cl、(SiH3)2NSiH2-N-C≡N-SiH3或[(SiH3)2NSiH2]2-N-C≡N(TSA2-氰胺)。存在許多其他較小的未鑑別的峰。混合物之GC譜圖顯示於中。一般技術者將認識到,適合蒸餾塔將能夠分離TSA2-氰胺與(H3Si)2-N-SiH2-NCN-SiH3
*具有初始約85%的純度,如藉由GC所測定。申請者咸信,中所示的單氯矽烷為TSA-Cl反應物中之雜質。
為進一步理解本發明之性質及目標,應結合附圖參考以下實施方式,其中:圖1為實施例之全氫化聚矽氮烷油狀物之氣相層析譜圖。
雖然已展示且描述本發明之具體實例,但熟習此項技術者可在不脫離本發明之精神或教示之情況下對其進行修改。本文所述之具體實例僅具例示性而無限制性。可對組成物及方法進行諸多變更及修改且此等變更及修改屬於本發明之範圍內。因此,保護範圍不限於本文所述之具體實例,而僅受隨附申請專利範圍限制,該範圍應包括申請專利範圍之主題的所有等效物。
Figure 106141626-A0305-02-0002-1

Claims (10)

  1. 一種形成含矽膜之組成物,其包含選自由以下組成之群的前驅物:(a)[(SiR3)2NSiH2]m-NH2-m-C≡N,其中m=1或2;及(b)[(SiR3)2NSiH2]3N;其中- 各R獨立地選自H、具有式-NR1R2之二烷基胺基或脒基(amidinate);- R1及R2獨立地選自H或C1-C12烴基,其條件為R1及R2不能同時等於H,且若R1為H,則R2為C2-C12烴基,且NR1R2可一起形成含N雜環配位基。
  2. 如申請專利範圍第1項之形成含矽膜之組成物,其中該前驅物為[(SiH3)2NSiH2]-NH-C≡N或[(SiH3)2NSiH2]2N-C≡N。
  3. 如申請專利範圍第1項之形成含矽膜之組成物,其中該前驅物為[(SiH3)2NSiH2]3N。
  4. 一種藉由化學氣相沉積法在基板上沉積含矽膜的方法,該方法包含向含有基板之反應器中引入包括如申請專利範圍第1項至第3項中任一項之形成含矽膜之組成物的蒸氣;使用化學氣相沉積法將該前驅物之至少一部分沉積於該基板上,於該基板上形成該含矽膜。
  5. 如申請專利範圍第4項之方法,其中該化學氣相沉積法為原子層沉積法或電漿增強原子層沉積法。
  6. 如申請專利範圍第4項或第5項之方法,其中該含矽膜為氧化矽膜。
  7. 如申請專利範圍第4項或第5項之方法,其中該含矽膜為氮化矽膜。
  8. 如申請專利範圍第4項或第5項之方法,其中該基板為矽晶圓。
  9. 如申請專利範圍第4項或第5項之方法,其中該基板為玻璃。
  10. 如申請專利範圍第4項或第5項之方法,其中該基板為有機材料。
TW106141626A 2016-12-11 2017-11-29 形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法 TWI791477B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662432666P 2016-12-11 2016-12-11
US62/432,666 2016-12-11
US15/661,576 2017-07-27
US15/661,576 US10192734B2 (en) 2016-12-11 2017-07-27 Short inorganic trisilylamine-based polysilazanes for thin film deposition

Publications (2)

Publication Number Publication Date
TW201829830A TW201829830A (zh) 2018-08-16
TWI791477B true TWI791477B (zh) 2023-02-11

Family

ID=60243685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106141626A TWI791477B (zh) 2016-12-11 2017-11-29 形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法

Country Status (6)

Country Link
US (1) US10192734B2 (zh)
JP (1) JP2020507199A (zh)
KR (1) KR102511289B1 (zh)
CN (1) CN110036139B (zh)
TW (1) TWI791477B (zh)
WO (1) WO2018107138A1 (zh)

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
EP3755658A4 (en) 2018-02-21 2022-03-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude PERHYDROPOLYSILAZANE COMPOSITIONS AND METHODS OF FORMING OXIDE FILMS USING THEM
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121897A1 (de) * 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20210098360A (ko) * 2020-01-31 2021-08-10 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US20210280451A1 (en) * 2020-03-04 2021-09-09 Applied Materials, Inc. Low temperature steam free oxide gapfill
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201615880A (zh) * 2014-10-24 2016-05-01 氣體產品及化學品股份公司 用於含矽膜的沉積的組合物及其方法
TW201641505A (zh) * 2015-03-30 2016-12-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其使用方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4870759B2 (ja) 2005-06-29 2012-02-08 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 3成分膜の新規な堆積方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
US20090291872A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic Liquids and Methods For Using the Same
JP2011521778A (ja) 2008-05-21 2011-07-28 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイト イオン液体およびその使用方法
CN102046838A (zh) 2008-05-29 2011-05-04 乔治洛德方法研究和开发液化空气有限公司 用于膜沉积的碲前体
JP5671711B2 (ja) 2009-06-04 2015-02-18 レール リキッド, ソシエテ アノニム プール レチュード エ レクスプロイタシオン デ プロセデ ジョルジュ クロード トリシリルアミンを生成するための装置および方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
EP2494587B1 (en) 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3590888B1 (en) 2011-10-07 2022-02-16 Air Liquide Advanced Materials LLC Apparatus and method for the condensed phase production of trisilylamine
WO2013082409A1 (en) 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated polymer films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
US20160049293A1 (en) 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
CN109072426B (zh) 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201615880A (zh) * 2014-10-24 2016-05-01 氣體產品及化學品股份公司 用於含矽膜的沉積的組合物及其方法
TW201641505A (zh) * 2015-03-30 2016-12-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其使用方法

Also Published As

Publication number Publication date
KR102511289B1 (ko) 2023-03-20
TW201829830A (zh) 2018-08-16
CN110036139A (zh) 2019-07-19
JP2020507199A (ja) 2020-03-05
US20170323783A1 (en) 2017-11-09
CN110036139B (zh) 2021-12-07
WO2018107138A1 (en) 2018-06-14
US10192734B2 (en) 2019-01-29
KR20190093597A (ko) 2019-08-09

Similar Documents

Publication Publication Date Title
TWI791477B (zh) 形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法
US11699584B2 (en) Si-containing film forming precursors and methods of using the same
JP6242026B2 (ja) Ald/cvdシリコン含有膜用のオルガノシラン前駆体
JP6100734B2 (ja) アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP2020507199A5 (zh)
US20160152640A1 (en) Hexacoordinate silicon-containing precursors for ald/cvd silicon-containing film applications
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
WO2016094711A2 (en) Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same