KR102471849B1 - 감광되고 화학적으로 증폭된 레지스트(ps-car) 시뮬레이션 - Google Patents

감광되고 화학적으로 증폭된 레지스트(ps-car) 시뮬레이션 Download PDF

Info

Publication number
KR102471849B1
KR102471849B1 KR1020187026726A KR20187026726A KR102471849B1 KR 102471849 B1 KR102471849 B1 KR 102471849B1 KR 1020187026726 A KR1020187026726 A KR 1020187026726A KR 20187026726 A KR20187026726 A KR 20187026726A KR 102471849 B1 KR102471849 B1 KR 102471849B1
Authority
KR
South Korea
Prior art keywords
radiation
car
sensitive material
acid
simulating
Prior art date
Application number
KR1020187026726A
Other languages
English (en)
Other versions
KR20180122634A (ko
Inventor
마이클 카르카시
벤자멘 엠. 라스색
마크 에이치. 서머벨
월리스 피. 프린츠
세이지 나가하라
세이이치 다가와
Original Assignee
도쿄엘렉트론가부시키가이샤
고꾸리쯔 다이가꾸 호우징 오사까 다이가꾸
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 고꾸리쯔 다이가꾸 호우징 오사까 다이가꾸 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180122634A publication Critical patent/KR20180122634A/ko
Application granted granted Critical
Publication of KR102471849B1 publication Critical patent/KR102471849B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

PS-CAR 포토레지스트 시뮬레이션을 위한 방법들 및 시스템들이 설명된다. 실시예에서, 방법은 시뮬레이션에 의해, 방사선 감응성 재료를 사용하는 리소그래피 프로세스의 적어도 하나의 프로세스 파라미터를 결정하는 단계를 포함한다. 그러한 실시예에서, 방사선 감응성 재료는, 방사선 감응성 재료 내의 산의 생성을 제 1 산 농도로 제어하고 방사선 감응성 재료 내의 감광제 분자들의 생성을 제어하는 제 1 광 파장 활성화 임계값, 및 산이 제 1 산 농도보다 큰 제 2 산 농도를 포함하는 것을 초래하는 방사선 감응성 재료 내의 감광제 분자들을 여기시킬 수 있는 제 2 광 파장 활성화 임계값을 포함하고, 제 2 광 파장은 제 1 광 파장과 상이하다. 그러한 실시예에서, 방법은 또한, 미리 결정된 적어도 하나의 프로세스 파라미터를 사용하여 리소그래피 프로세스를 수행하는 단계를 포함한다.

Description

감광되고 화학적으로 증폭된 레지스트(PS-CAR) 시뮬레이션
본 출원은 그 전체가 참조로서 본원에 포함되는, "감광되고 화학적으로 증폭된 레지스트(Photo-sensitized Chemically Amplified Resist; PS-CAR) 시뮬레이션"으로 명칭된, 2016년 2월 19일에 출원된 미국 특허 출원 제 15/048,584 호의 이익을 주장한다.
본 발명은 기판 프로세싱에 대한 시스템들 및 방법들, 더 구체적으로 감광되고 화학적으로 증폭된 레지스트(PS-CAR) 시뮬레이션에 대한 방법 및 시스템에 관한 것이다.
반도체 디바이스들의 리소그래픽 패터닝에서, 기술 노드들, 따라서 피처 사이즈들을 축소하는 것은 파장들을 극자외선(extreme ultraviolet; EUV) 범위 내에 이르게 하고 있다. 이 시점에서, EUV 광원들은 여전히 활발한 개발 하에 있고, 현재는 이전 세대들의 광원들의 레벨들의 조명을 개발하고 제공할 수는 없다. 이 결점들을 처리하고 현재 세대의 EUV 광원들을 여전히 이용할 수 있도록, 감광되고 화학적으로 증폭된 레지스트(PS-CAR)로 지칭되는 레지스트 화학물 및 연관 방법들이 개발되어 왔다. PS-CAR는, 종래의 화학적으로 증폭된 레지스트(CAR)와 같이, 레지스트 피처 내에 생성되는 산(acid)을 탈보호(deprotection)를 위해 이용하지만, 산은 단일의 패터닝 노광만이 사용되는 CAR와 달리 2단계 조명 프로세스에서 생성된다.
PS-CAR에서, 비교적 소량의 생성된 산을 갖는 패턴[레지스트 내의 잠상(latent image)]을 생성하고, 동시에 예를 들어 PS-CAR 레지스트에 첨가된 감광제 생성기(photosensitizer generator)로부터 감광제(photosensitizer; PS) 화합물을 생성하기 위해, 종종 EUV 주파수들에서 제 1 패터닝 노광이 사용된다. 산 및 감광제(PS) 둘 다는 제 1 패터닝 노광 동안의 PS-CAR 레지스트의 조명된 부분들에만 생성된다. 그 후, 제 1 패터닝 노광의 파장과는 상이한 제 2 파장의 광으로 플루드(flood) 노광이 (즉, 패턴 없이) 수행된다. PS-CAR 레지스트 화학물은, 제 2 플루드 노광에서 사용되는 제 2 파장의 광에 감광제(PS)가 감응적인 반면, 다른 레지스트 성분들은 제 2 파장의 광에 감응적이지 않도록 선택된다. 제 1 EUV 패터닝 노광 동안 형성된 패턴 내 도처에 존재하는 감광제(PS)는 플루드 노광 동안 산의 추가 생성을 유발하는데, 예를 들어 산 농도의 10배 증가가 가능하다. 이 감광제로 유도된 산 농도 증가는 크게 증가된 콘트라스트(contrast)를 초래하고, 이는 특히 RLS(Resolution - Line Width Roughness - Sensitivity) 트레이트오프(tradeoff)와 관련하여 더 많은 프로세스 허용범위(latitude)를 가능하게 한다. 따라서, PS-CAR는 현재의 파워 레벨들에서의 EUV 소스들 및 리소그래피의 생산적 사용을 가능하기 때문에 EUV 리소그래피에 대한 실행가능(enabling) 기술을 제공한다.
여기서 PS-CAR 프로세스들이, 예를 들어 EUV 패터닝 노광과 플루드 노광 사이에 추가 단계들을 포함할 수 있다는 점에 유념해야 한다. 위의 설명은 명확성의 목적을 위해 단순화되었다. 또한, 일부 PS-CAR 화학물 실시예들에서, 제 1 EUV 패터닝 노광 동안 산이 생성되지 않을 수 있고, 감광제만이 생성될 수 있으며, 이 생성된 감광제가 플루드 노광 동안 모든 산의 생성을 유발한다. 대안적으로 또한, 이전에 설명된 바와 같이 산이 소량으로 생성될 수 있지만, [PS-CAR 레지스트에 존재하는 양의 ??차(quencher)에 따라] ??칭(quenching) 이벤트들과 같은 경쟁(competing) 화학 반응들에 의해 산이 효율적으로 소실(consume)될 수 있다.
PS-CAR 레지스트 퇴적, 도우징(dosing), 패터닝, 및 현상은 일부 실시예들에서 매우 감응적인 프로세스들일 수 있다. PS-CAR 레지스트 화학물들의 복합성(complexity), 및 패터닝된 피처들의 스케일(scale)로 인해, 많은 변수들이 레지스트 마스크의 품질, 따라서 결과적인 에칭된 피처들에 기여할 수 있다. 레지스트 패터닝 모델들은 레지스트층 및 패턴 품질들을 예측하고 레지스트 프로세싱 파라미터들을 미세 조정하는데 사용되어 왔지만, 종래의 모델들 중 어느 것도 다양한 이유들로 PS-CAR를 패터닝하기에 적합하지 않다. 첫째, PS-CAR 레지스트 프로세싱 흐름(flow)들은 종래의 CAR 레지스트 흐름들에서 요구되지 않는 추가 단계들을 포함한다. 이전의 모델들은 이 추가 흐름 단계들을 고려하지 않는다. 둘째, PS-CAR 레지스트는 종래의 CAR 레지스트보다 EUV 및 UV 노광 도우징에 더 감응적이고, 이전 모델들은 그러한 감응도들을 고려하지 않는다. 셋째, 종래의 모델들은 PS-CAR 화학물들이 아닌 종래의 CAR 화학물들의 화학적성질에 맞춘 미리설정된 파라미터들로 설계된다. 당업자는 종래의 CAR 레지스트들을 시뮬레이션하기 위해 사용되는 이전 모델들의 다양한 추가 결점들을 인식할 것이다.
PS-CAR 포토레지스트 시뮬레이션을 위한 방법들 및 시스템들이 설명된다. 실시예에서, 방법은 시뮬레이션에 의해, 방사선 감응성 재료(radiation-sensitive material)를 사용하는 리소그래피 프로세스의 적어도 하나의 프로세스 파라미터를 결정하는 단계를 포함한다. 그러한 실시예에서, 방사선 감응성 재료는, 방사선 감응성 재료 내의 산의 생성을 제 1 산 농도로 제어하고 방사선 감응성 재료 내의 감광제 분자들의 생성을 제어하는 제 1 광 파장 활성화 임계값, 및 산이 제 1 산 농도보다 큰 제 2 산 농도를 포함하는 것을 초래하는 방사선 감응성 재료 내의 감광제 분자들을 여기시킬 수 있는 제 2 광 파장 활성화 임계값을 포함하고, 제 2 광 파장은 제 1 광 파장과 상이하다. 그러한 실시예에서, 방법은 또한, 미리 결정된 적어도 하나의 프로세스 파라미터를 사용하여 리소그래피 프로세스를 수행하는 단계를 포함한다.
방법의 다른 실시예는, 입력 인터페이스에서, 리소그래피 프로세스에서의 사용을 위한 방사선 감응성 재료의 물리적 파라미터를 수신하는 단계를 포함한다. 방법은 또한, 입력 인터페이스에서, 리소그래피 프로세스의 제 1 방사선 노광 단계 및 제 2 방사선 노광 단계 중 적어도 하나와 연관된 노광 파라미터를 수신하는 단계를 포함할 수 있다. 추가적으로, 방법은 데이터 프로세서를 사용하여, 리소그래피 프로세스 모델에 따라, 그리고 물리적 파라미터 및 방사선 노광 파라미터에 응답하여 방사선 감응성 재료의 프로파일을 산출하는 단계를 포함할 수 있다. 또한, 방법은 출력 인터페이스에서, 방사선 감응성 재료의 프로파일을 포함하는 출력을 생성하는 단계를 포함할 수 있다.
본 명세서에 포함되어 그 일부를 구성하는 첨부 도면들은 본 발명의 실시예들을 예시하고, 위에 주어진 본 발명의 일반적인 설명 및 아래에 주어지는 상세한 설명과 함께, 본 발명을 설명하는 역할을 한다.
도 1은 반도체 웨이퍼 프로세싱 시스템의 실시예이다.
도 2의 A는 PS-CAR 패터닝 프로세스에서의 EUV 패터닝 노광 단계 이후의 감광제 및 산 농도 프로파일들의 실시예를 도시한다.
도 2의 B는 PS-CAR 패터닝 프로세스에서의 EUV 패터닝 노광 단계 이후의 디바이스 단면도를 도시한다.
도 2의 C는 PS-CAR 패터닝 프로세스에서의 플루드 노광 단계 이후의 감광제 및 산 농도 프로파일들을 도시한다.
도 2의 D는 PS-CAR 패터닝 프로세스에서의 플루드 노광 단계 이후의 디바이스 단면도를 도시한다.
도 3의 A는 플루드 노광 단계 이전의 감광제 및 산 농도 프로파일들을 도시하고, PS-CAR 패터닝 프로세스에서의 완화되지 않은(unmitigated) EUV 샷 노이즈(shot noise)의 효과들을 함께 예시한다.
도 3의 B는 플루드 노광 단계 이후의 감광제 및 산 농도 프로파일들을 도시하고, PS-CAR 패터닝 프로세스에서의 완화되지 않은 EUV 샷 노이즈의 효과들을 함께 예시한다.
도 3의 C는 본 발명의 실시예에 따른 PS-CAR 패터닝 프로세스에서의 EUV 샷 노이즈 완화 단계 이전의 감광제 농도 프로파일들, 및 EUV 샷 노이즈 완화 단계 이후의 산 농도 프로파일을 도시한다.
도 3의 D는 본 발명의 실시예에 따른 PS-CAR 패터닝 프로세스에서의 EUV 샷 노이즈 완화 단계 이후의 그리고 플루드 노광 단계 이후의 예시적인 산 농도 프로파일들을 도시한다.
도 4는 본 발명의 실시예에 따른 PS-CAR 패터닝 프로세스를 위한 프로세스 흐름을 도시한다.
도 5는 PS-CAR 포토레지스트 시뮬레이션을 위해 구성된 데이터 프로세싱 시스템의 일 실시예를 예시하는 개략적인 블록도이다.
도 6은 PS-CAR 포토레지스트 시뮬레이션을 위한 시스템의 일 실시예를 예시하는 개략적인 블록도이다.
도 7은 PS-CAR 포토레지스트 모델의 입력 파라미터들을 캘리브레이션하기(calibrating) 위한 방법의 일 실시예를 예시하는 개략적인 흐름도이다.
도 8은 PS-CAR 포토레지스트 모델의 입력 파라미터들을 캘리브레이션하기 위한 방법의 일 실시예를 예시하는 개략적인 흐름도이다.
도 9는 PS-CAR 포토레지스트를 시뮬레이션하기 위한 방법의 일 실시예를 예시하는 개략적인 블록도이다.
도 10은 PS-CAR 포토레지스트를 시뮬레이션하기 위한 방법의 일 실시예를 예시하는 개략적인 블록도이다.
도 11은 PS-CAR 포토레지스트 모델에 대한 입출력(input/output) 데이터 설정의 실시예를 예시하는 개략적인 입출력도이다.
도 12는 PS-CAR 포토레지스트 모델에 대한 입력 데이터 설정의 일 실시예를 예시하는 개략적인 파라미터 아키텍처도이다.
도 13은 PS-CAR 포토레지스트 모델에 대한 출력 데이터 설정의 일 실시예를 예시하는 개략적인 파라미터 아키텍처도이다.
도 14는 PS-CAR 포토레지스트 모델의 EUV 광학 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 15는 PS-CAR 포토레지스트 모델의 EUV 노광 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 16은 PS-CAR 포토레지스트 모델의 사전 PEB(pre-PEB) 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 17은 PS-CAR 포토레지스트 모델의 UV 광학 시뮬레이터의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 18은 PS-CAR 포토레지스트 모델의 UV 플루드 노광 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 19는 PS-CAR 포토레지스트 모델의 PEB 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 20은 PS-CAR 포토레지스트 모델의 현상제(developer) 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
도 21은 PS-CAR 포토레지스트 모델의 계측(metrology) 모듈의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다.
본 발명의 실시예들은, 반도체 제조시에 기판 상의 층을 패터닝하기 위한 프로세스, 장치, 및 시스템의 설계 및 제어에 관한 것이다.
이어지는 설명에서, 본 발명의 철저한 이해를 용이하게 하기 위해 그리고 제한이 아닌 설명의 목적을 위해, 마스크, 코팅제/현상제, 노광 툴들의 특정 기하구조들, 및 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정 상세사항들이 제시된다. 그러나, 이들 특정 상세사항들로부터 벗어나는 다른 실시예들에서 본 발명이 실시될 수 있다는 점이 이해되어야 한다.
이어지는 설명에서, 용어들 방사선 감응성 재료 및 포토레지스트는 상호교환가능하게 사용될 수 있고, 포토레지스트는 포토리소그래피에서의 사용을 위한 많은 적절한 방사선 감응성 재료들 중 하나일뿐이다. 유사하게, 이후부터, 프로세싱되는 워크피스를 나타내는 용어 기판은 반도체 웨이퍼, LCD 패널, 발광 다이오드(light-emitting diode; LED), 광발전(photovoltaic; PV) 디바이스 패널 등과 같은 용어들과 상호교환가능하게 사용될 수 있고, 이들 모두의 프로세싱은 청구된 발명의 범위 내에 있다.
"일 실시예" 또는 "실시예"에 대한 본 명세서 전반에 걸친 참조는, 실시예와 연관하여 설명된 특정 피처, 구조물, 재료, 또는 특징이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미하지만, 그들이 모든 실시예에 존재하는 것을 나타내지는 않는다. 따라서, 본 명세서 전반에 걸친 다양한 위치들에서의 문구들 "일 실시예에서" 또는 "실시예에서”의 등장은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 피처들, 구조물들, 재료들, 또는 특징들은 하나 이상의 실시예에서 임의의 적절한 방식으로 조합될 수 있다.
다양한 동작들은 결국, 본 발명을 이해하는데 있어서 가장 도움이 되는 방식으로 다수의 별개의 동작들로서 설명될 것이다. 그러나, 설명의 순서는 이들 동작들이 필수적인 종속적 순서임을 암시하는 것으로 해석되지 않아야 한다. 특히, 이들 동작들은 제시의 순서대로 수행될 필요는 없다. 설명되는 동작들은 설명되는 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명되는 동작들이 추가적인 실시예들에서 생략될 수 있다.
또한, 감광되고 화학적으로 증폭된 레지스트(PS-CAR)의 사용은 레지스트(포토레지스트)에만 제한되지 않고, 유사한 감광성(light-sensitive) 화학물들이 반사방지 코팅(antireflective coating; ARC)들, 바닥 반사방지 코팅(bottom antireflective coating; BARC)들, 현상제 가용성 바닥 반사방지 코팅(developer-soluble bottom antireflective coating; DBARC)들, 오버코팅 재료들, 스마트 슬림 재료들 등으로 구현될 수 있다. 본원에서 설명되는 PS-CAR 화학물들 및 방법들이 모든 이들 재료들 및 그 패터닝에 적용될 수 있고, 따라서 용어들 레지스트, 포토레지스트, 및 방사선 감응성 재료는 이후부터 이들 재료들 모두를 지칭하기 위해 상호교환적으로 사용될 것이라는 점이 이해되어야 한다.
감광되고 화학적으로 증폭된 레지스트(PS-CAR) 개념이 아래에서 다소 상세히 설명된다. 단일의 패터닝된 (즉, 마스크를 통한) 노광이 가용성 및 불용성(insoluble) 영역들을 각각 규정하는 탈보호(포지티브 톤) 또는 보호(네거티브 톤) 레지스트의 영역들을 생성하는 종래의 레지스트 프로세싱과 대조적으로, PS-CAR 프로세싱은 최종 패턴을 규정하는 제 2 파장의 광에서의 화학적으로 선택적인 제 2 플루드 노광에 대한 레지스트의 감응도를 증폭시키기 위해 제 1 파장의 광으로의 제 1 패터닝 노광에 의존한다. 제 2 파장의 광은 제 1 파장의 광과는 상이하도록 선택된다. 이는 광자(photon) 밀도가 낮을 때 더 높은 감응도 패터닝을 가능하게 한다. 제 1 EUV 패터닝 노광 동안의, 그리고 레지스트의 노광된 영역들에만 감광제(PS)가 생성된다. 전자 빔(e빔), KrF, 또는 ArF 노광이 또한 제 1 패터닝 노광을 위해 사용될 수 있다.
플루드 노광 파장의 선택은, 레지스트 내에서의 감광제(PS)에 의한 흡수가 최대화되면서 광산 생성기(photo acid generator; PAG) 또는 PS 생성기에 의한 흡수도(absorbance)를 최소화하는 요건에 의해 좌우된다. 일반적으로, 플루드 노광 파장의 광은 광 스펙트럼의 UV 부분 내에 있다. 제 2 플루드 노광에 의해 여기된 감광제(PS)는 그 근처에 있는 광산 생성기(PAG) 분자들을 분해하여, 제 1 EUV 패터닝 노광에서 노광된 영역들 내의 산 생성의 증폭을 유발하면서, 본질적으로, 노광되지 않은 영역들 내에 산이 형성되지 않게 유지한다. 이는, 종래의 플루드 노광 프로세스들에서 일반적으로 존재하는 DC 바이어스 시프트(DC-bias shift)가 없음을 의미한다.
레지스트는 따라서, 레지스트 내의 화학물질들의 생성이 현상 전에 상이한 프로세스 조건들 하에서 상이한 시간에 일어나도록 하는 별도의 활성화 임계값들을 포함할 수 있다. 특히, 이 개념은 레지스트 내에서, 감광제(PS)의 생성 및 산 증폭을 서로 분리하는 것이다. 일부 PS-CAR 화학물 실시예들에서, 제 1 EUV 패터닝 노광 동안 감광제만이 생성되고 산이 생성되지 않으며, 산 생성 및 증폭은 후속하는 제 2 플루드 노광 동안 전체적으로 일어난다. 이들 실시예들에서, 감광제 생성기 및 광산 생성기(PAG)의 감광도 범위들에 중첩(overlap)은 없다. 다른 PS-CAR 화학물 실시예들에서, 감광제 생성기 및 광산 생성기(PAG) 감광도 범위들은, 감광제(PS)가 제 1 EUV 패터닝 노광 동안, 일반적으로 증폭 후의 생성된 산의 최종 양의 약 절반보다 적은, 비교적 소량의 산과 동시에 생성되도록 약간 중첩될 수 있다. 이 초기에 생성된 양의 산은 이어서 제 2 플루드 노광에서 증폭된다. PS-CAR의 예시적인 실시예들에서, 광의 제 1 (EUV) 파장은 300nm보다 작을 수 있는 반면, 제 2 플루드 노광을 위해 사용되는 광의 제 2 파장은 300nm보다 클 수 있고, 일반적으로 약 365nm일 수 있다.
실시예에서, 이전에 언급된 바와 같이, 레지스트는 레지스트층 내의 감광제(PS) 분자들의 생성을 제어하는 제 1 광 파장 활성화 임계값을 포함하는 감광제 생성기와, 레지스트층 내의 산의 생성 및 증폭을 제어하는 제 2 광 파장 활성화 임계값을 포함하는 광산 생성기(PAG) 화합물을 포함할 수 있고, 제 2 활성화 파장은 제 1 활성화 파장과 상이하다. 감광제 분자는 광 에너지를 흡수하고 이 광 에너지를 다른 분자, 예를 들어 광산 생성기(PAG)에 전달하도록 선택될 수 있다. 일부 감광제(PS) 분자들은 기저 상태(ground state)에서 에너지를 전달할 수 있는 반면 다른 분자들은 여기 상태에서 에너지를 전달할 수 있다. 실시예에서, PS-CAR 레지스트의 감광제 생성기는 아세토페논(acetophenone), 트리페닐렌(triphenylene), 벤조페논(benzophenone), 플루오레논(fluorenone), 안트라퀴논(anthraquinone), 페난트렌(phenanthrene), 또는 이들의 파생물들 중 적어도 하나를 포함할 수 있다. 실시예에서, 광산 생성기(PAG)는 흡수된 광 에너지를 화학적 에너지, 예를 들어 산성 반응(acidic reation)으로 변환할 수 있는 양이온 광개시제(cationic photoinitiator)일 수 있다. 광산 생성기(PAG)는 트리페닐설포늄 트리플레이트(triphenylsulfonium triflate), 트리페닐설포늄 노나플레이트(nonaflate), 트리아릴설포늄(triarylsulfonium) 나노플레이트, 트리아릴설포늄 퍼플루오로옥틸설포네이트(perfluorooctylsulfonate), 트리페닐설포늄 솔트(salt), 트리아릴설포늄 솔트, 트리아릴설포늄 헥사플루오로안티모네이트(hexafluoroantimonate) 솔트, N-히드록시나프탈이미드(N-hydroxynaphthalimide) 트리플레이트, 1,1-비스(bis)[p-클로로페닐(chlorophenyl)]-2,2,2-트리클로로에탄(trichloroethane)(DDT), 1,1-비스[p-메톡시페닐(methoxyphenyl)]-2,2,2-트리클로로에탄, 1,2,5,6,9,10-헥사브로모사이클로도데케인(hexabromocyclododecane), 1,10-디브로모디케인(dibromodecane), 1,1-비스[p-클로로페닐]2,2-(디클로로에탄)dichloroethane, 4,4-디클로로-2-[트리클로로메틸(trichloromethyl)]벤즈히드롤(benzhydrol), 1,1-비스(클로로페닐) 2-2,2-트리클로로에탄올(trichloroethanol), 헥사클로로디메틸설폰(hexachlorodimethylsulfone), 2-클로로-6-(트리클로로메틸)피리딘(pyridine), 또는 이들의 파생물들 중 적어도 하나를 포함할 수 있다.
도 1은 반도체 웨이퍼 프로세싱 시스템(100)의 실시예이다. 실시예에서, 실리콘 웨이퍼와 같은 반도체 기판(102)이 PS-CAR 포토레지스트 코팅 유닛(104) 내에 삽입된다. 반도체 기판(102)은 이어서 PS-CAR 포토레지스트의 하나 이상의 층으로 코팅될 수 있다. 기판(102)은 이어서 PS-CAR 포토레지스트층의 패터닝을 위한 EUV 노광 유닛과 같은 패턴 노광 유닛(106)에 보내질 수 있다. 패터닝 후, 기판(102)은 PS-CAR 감광제 및 PS-CAR 화학물의 다른 산 생성 성분들의 추가 현상을 위해 플루드 노광 유닛(108) 내에서 UV 광의 플루드와 같은 제 2 파장의 광에 노출될 수 있다. 기판(102)은 이어서 PS-CAR 포토레지스트 패터닝된 마스크에 의해 규정된대로의 기판 상의 하나 이상의 층의 패터닝된 에칭을 위해 패턴 에칭 유닛(110)에 보내질 수 있다. 결과적인 기판(102)은, 그 내부에 또는 기판(102) 상에 퇴적된 하나 이상의 층 내에 형성된 하나 이상의 물리적 피처(112)를 포함할 수 있다. 당업자는, 추가 단계들 및/또는 기능적 유닛들이 시스템(100) 내에 포함될 수 있다는 점을 인식할 것이다. 예를 들어, 웨이퍼(102)는 노광 후 베이크(Post Exposure Bake; PEB)를 위해 또는 사전 PEB 확산 프로세스를 위해 가열 엘리먼트에 근접하여 배치될 수 있다. 추가적으로, PS-CAR 포토레지스트층의 하나 이상의 부분은 습식 에칭 프로세싱 챔버, 세정 챔버, 또는 포토레지스트 선택형 건식 에칭 챔버 내에서 에칭 전에 제거될 수 있다. 추가적으로, PS-CAR층(들)은 전용 현상제 유닛 등 내에서 현상될 수 있다. 이 추가 모듈들 또는 유닛들은 본원에서 제공되는 기술들의 논의를 단순화하기 위해 예시되지 않았다. 이 추가 상세사항들은 당업자에게 공지될 것이다.
이해를 더 돕기 위해, 도 2의 A 내지 도 2의 D는 후속하는 현상 및 에칭 단계들 이전의 PS-CAR 패터닝 프로세스를 설명한다. 도 2의 B에서, 패터닝될 기저(underlying)층(260)을 형성하기 위해 코팅되거나 또는 개질된(modified) 기판(250)이 제공된다. 예를 들어, 기저층(260)의 노출된 표면에 스핀 온 분배(spin-on dispense) 기술들을 사용하여 PS-CAR 레지스트(270)가 도포된다. 제 1 EUV 패터닝 노광(201)에서, 제 1 파장의 광(290)이 마스크(280)를 통해 PS-CAR 레지스트(270) 상에 노광되어, PS-CAR 레지스트(270) 내부에 교대로 노광된 그리고 노광되지 않은 영역들을 형성한다. 이 노광 동안, PS-CAR 레지스트(270)의 노광된 영역들 내의 감광제 생성기로부터 감광제(PS)가 생성되어 감광제(PS) 농도 프로파일들(220)을 형성하고, 감광제(PS) 농도 프로파일들(220)은 또한 감광제(PS) 및 산 농도들(220 및 210) 각각의 그래프들(200)로 도 2의 A 내에 확대되어 도시된다. PS-CAR 레지스트 화학물에 따라, 일부 실시예들에서, PS-CAR 레지스트(270) 내부의 광산 생성기(PAG)들로부터 제 1 EUV 패터닝 노광(201) 동안 또한 산이 형성되어, 산 농도 프로파일들(210)을 형성할 수 있다. 이전에 설명된 바와 같이, 감광제 생성기와 광산 생성기(PAG) 감광도 범위들 간에 중첩이 없는 다른 실시예들에서, 제 1 EUV 패터닝 노광(201) 동안 산이 생성되지 않는다.
후속하여, 도 2의 D에 도시된 바와 같이, 기저층(260) 및 패턴 노광된 PS-CAR 레지스트(270)를 갖는 기판(250)이 이제 제 1 파장의 광(290)과는 상이한 제 2 파장의 광(290)을 사용하여 제 2 플루드 노광(201)되고, 제 2 플루드 노광은 이전에 노광된(즉, 마스킹되지 않은) 영역들 내에 생성된 감광제(PS) 분자들이 그 근처에 있는 광산 생성기(PAG) 분자들로부터의 산 생성을 증폭하여, 산 농도 프로파일들(210)을 형성한다. 산 농도 프로파일들(210)은 제 1 EUV 패터닝 노광(201) 이후의 산 농도 프로파일들(210)보다 더 높은 피크들, 따라서 더 나은 콘트라스트를 갖는다. 제 2 플루드 노광(201)이 포함되었지만, 종래의 플루드 노광 프로세스와 달리 제 1 EUV 패터닝 노광(201) 동안 노광되지 않은(마스킹된) 영역들 내의 산의 생성이 없으므로, DC-바이어스가 없고 높은 콘트라스트가 유지된다. 이는 PS-CAR 내에서 산 생성 및 증폭이 감광제(PS)의 존재시에만 일어나기 때문이다. 일반적으로, 감광제(PS) 농도 프로파일들(220)은 초기 감광제(PS) 농도 프로파일들(220)로부터 제 2 플루드 노광(201) 후 거의 변화하지 않지만, 특정 화학물 실시예들에서 감광제(PS) 농도들(220 및 220)간에 더 큰 변화들이 발생할 수 있다. 도 2의 C는 제 2 플루드 노광(201) 이후의 감광제(PS) 및 산 농도 프로파일들(220 및 210) 각각의 그래프들(200)을 도시한다.
이제 PS-CAR 레지스트(270) 내에 증폭된 산 농도 프로파일들(210)이 존재하고 잠상을 형성하였으므로, 기판은 이제 이어지는 종래의 단계들에 따른 패터닝 프로세스를 완료하기 위해 기저층(260)의 베이크들, 현상, 에칭과 같은 후속 패터닝 프로세스 단계들을 위해 준비된다. 일부 실시예들에서, 제 1 EUV 패터닝 노광(201)과 제 2 플루드 노광(201) 사이에 베이킹 단계들 등과 같은 추가 프로세싱 단계들이 행해질 수 있다. 또한, 본원에서 예시로서 PS-CAR 레지스트(270)를 사용하는 프로세스가 설명된 반면, 동일한 프로세스는 PS-CAR 화학물을 포함하는 ARC, BARC, DBARC, 오버코팅 재료층들 등과 같은 임의의 다른 재료들에 적용가능하다.
도 2의 A 내지 도 2의 D는 이상적인 감광제(PS) 및 산 농도 프로파일들이 어떻게 보일 수 있는지를 도시한다. 도 3의 A는 제 1 EUV 패터닝 노광(201) 동안 누적된 EUV 샷 노이즈의 효과들을 갖는 예시적인 감광제(PS) 농도 프로파일(320) 및 산 농도 프로파일(310)을 도시한다. EUV 샷 노이즈는 도 2의 A의 이상적인 농도 프로파일들(210 및 220)로부터의 이탈을 유발한다. 그러한 비이상적인 감광제(PS) 농도 프로파일(320) 및 산 농도 프로파일(310)이 이제 제 2 플루드 노광(201)되면, 제 2 플루드 노광(201)은 도 3의 B에 도시된 바와 같이, 산 농도 프로파일(310)의 불규칙성들을 콘트라스트의 손실과 함께 최종 산 농도 프로파일(315)까지 증폭시킬 수 있다. 산 농도 프로파일(315)에서의 콘트라스트의 손실은 패터닝(또는, 디바이스 유형에 따라 LER 또는 CER)에서의 라인 폭 거칠기(line width roughness; LWR)에 대한 주요 기여자(contributor)이고, 패턴 무결성(integrity)을 유지하기 위해 EUV 샷 노이즈로 인한 이 콘트라스트의 손실을 완화하기 위한 방안이 요구된다.
본 발명자들은 EUV 샷 노이즈로 인한 이 콘트라스트의 손실을 완화하기 위한 다수의 가능한 방식들을 구상했다. 이 방법들 대부분은, 생성된 감광제(PS)가 그 근처 내에 확산되게 하여 EUV 샷 노이즈에 의해 유발되는 불규칙성들을 매끄럽게 하는, 제 1 EUV 패터닝 노광(201)과 제 2 플루드 노광(201) 사이의 중간 단계를 포함하는 것에 기반한다.
도 3의 C는 감광제 확산 단계 이후의 감광제(PS) 농도 프로파일(325) 및 감광제 확산 단계 이전의 감광제(PS) 농도 프로파일(320)의 그래프들을 도시한다. 감광제(PS) 농도 프로파일(325)은 EUV 샷 노이즈 영향받은 감광제(PS) 농도 프로파일(320)보다 매끄럽고, 이상적인 최종 산 농도 프로파일로부터의 편차들을 크게 감소시켜야 한다. 감광제(PS)의 확산 후의 산 농도 프로파일(335)이 또한 도 3의 C에 도시된다. 확산되고 매끄러워진 감광제(PS) 농도 프로파일(325)을 가지고, 프로세스는 산을 생성하고 증폭하기 위한 제 2 플루드 노광으로 진행한다. 이 프로세스 동안, 도 3의 D에 도시된 바와 같이, EUV 샷 노이즈를 완화하기 위한 단계들 없이 획득된 도 3의 B의 농도 프로파일(315)보다 훨씬 향상된 최종 산 농도 프로파일(340)에 도달한다.
이제 도 4를 참조하면, EUV 샷 노이즈 완화 단계를 갖는 PS-CAR 패터닝 프로세스의 흐름도(400)가 도시된다. 단계(402)에서, 패터닝될 기저층(260)이 그 위에 형성되고, 기저층(260) 맨 위에 PS-CAR 레지스트(170)가 퇴적된 기판(250)과 같은 기판이 제공된다. 단계(404)에서, PS-CAR가 일반적으로 EUV 범위 내의 제 1 파장의 광을 사용하는 제 1 EUV 패터닝 노광되고, 따라서 제 1 활성화 임계값을 활성화하여 PS-CAR 레지스트(270) 내에 존재하는 감광제 생성기로부터 감광제(PS)를 생성한다. 단계(406)에서, 생성된 감광제(PS) 분자들이 확산되게 하여 EUV 샷 노이즈의 효과들을 완화한다. 단계(408)에서, 이전에 설명된 바와 같이, PS-CAR 레지스트(270)는 제 1 파장의 광과는 상이한 제 2 파장의 광에 제 2 플루드 노광되어, 제 2 활성화 임계값을 활성화하고 PS-CAR 레지스트(270) 내의 광산 생성기(PAG)로부터의 산의 생성 및 증폭을 유발하여, EUV 샷 노이즈의 효과들에 대해 보정된 최종 산 농도 프로파일을 생성한다.
계속해서 도 4를 참조하면, 추가 패터닝 단계들은, 그 동안 기판이 가열되는 후속 베이크 프로세스(410), 이에 이은 PS-CAR 레지스트(270)가 현상되어 기저층(260)의 후속 프로세싱을 위한 패터닝된 마스크를 형성하는 현상 프로세스(412)와 같은 종래의 패터닝 단계들을 포함할 수 있다. 마지막으로, 프로세스는 현상된 PS-CAR 레지스트를 마스크로서 사용하여 기저층(260)이 에칭되거나, 주입되거나, 또는 개질되는 실제 프로세스(414)에서 완결된다. 모든 이들 프로세스들은 반도체 리소그래피 패터닝의 당업자에게 잘 알려져 있으므로, 본원에서 상세히 논의되지 않을 것이다.
가장 단순한 실시예에서, 생성된 감광제(PS) 분자들이 확산하기 위한, 제 1 EUV 패터닝 노광과 제 2 플루드 노광 사이의 충분한 시간을 허용하는 것은, 감광제(PS) 분자들을 확산시키는 단계(406)의 가장 단순한 실시예를 나타낸다. 그러나, 이 접근법은 확산이 일어나게 하기 위해 노광들 간에 기판들이 홀딩되기 때문에 프로세싱 스루풋 페널티를 유발할 수 있다.
도 5는 PS-CAR 포토레지스트 시뮬레이션을 위해 구성된 데이터 프로세싱 시스템(500)의 일 실시예를 예시하는 개략적인 블록도이다. 일 실시예에서, 도 6에 예시된 엘리먼트들은 도 5에서 설명된 컴퓨터 시스템(500)과 유사한 컴퓨터 시스템 상에 구현될 수 있다. 다양한 실시예들에서, 컴퓨터 시스템(500)은 서버, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 컴퓨터, 데스크탑 컴퓨터, 랩탑 등일 수 있다. 본 실시예들이 임의의 특정 컴퓨팅 플랫폼, 또는 임의의 특정 컴퓨터 구성에 제한되지 않는 한편, 당업자는 시스템이 충분한 연산(computational) 및 프로세싱 능력(power)뿐만 아니라 본원에서 설명되는 바와 같이 수행되는 시뮬레이션과 연관된 많은 동시 산출(simultaneous calculation)들을 수용하기 위한 충분한 메모리를 포함해야 한다는 점을 인식할 것이다.
예를 들어, 예시된 바와 같이, 컴퓨터 시스템(500)은 버스(506)를 통해 시스템 메모리(504)에 커플링되는 하나 이상의 프로세서(502A 내지 502N)를 포함한다. 컴퓨터 시스템(500)은 버스(506)에 커플링되는 네트워크 인터페이스(508), 및 커서 제어 디바이스(512), 키보드(514), 및 디스플레이(들)(516)와 같은 디바이스들에 커플링되는 입출력(input/output; I/O) 컨트롤러(들)(510)를 더 포함한다. 일부 실시예들에서, 주어진 엔티티(entity)(예를 들어, 도 6에 예시된 PS-CAR 시뮬레이션 툴)가 컴퓨터 시스템(500)의 단일 인스턴스(instance)를 사용하여 구현될 수 있는 한편, 다른 실시예들에서 컴퓨터 시스템(500)을 이루는 다수의 그러한 시스템들, 또는 다수의 노드들이 실시예들의 상이한 부분들 또는 인스턴스들[예를 들어, 캘리브레이션 유닛(602) 및 PS-CAR 시뮬레이션 툴(604)]을 호스팅하도록 구성될 수 있다.
다양한 실시예들에서, 컴퓨터 시스템(500)은 하나의 프로세서(502A)를 포함하는 단일 프로세서 시스템, 또는 2개 이상의(예를 들어, 2개의, 4개의, 8개의, 또는 다른 적절한 개수의) 프로세서들(502A 내지 502N)을 포함하는 다중 프로세서 시스템일 수 있다. 프로세서(들)(502A 내지 502N)는 프로그램 명령어들을 실행하고 정량적으로(quantitatively) 집중적인(intensive) 산출들을 실행할 수 있는 임의의 프로세서일 수 있다. 예를 들어, 다양한 실시예들에서, 프로세서(들)(502A 내지 502N)는 x86, POWERPC®, ARM®, SPARC®, 또는 MIPS® ISAs, 또는 임의의 다른 적절한 ISA(instruction set architecture)와 같은, 임의의 다양한 명령어 집합 아키텍처(instruction set architecture; ISA)들을 구현하는 범용 또는 임베딩된 프로세서들일 수 있다. 다중 프로세서 시스템들에서, 프로세서(들)(502A 내지 502N) 각각은 통상적으로 동일한 ISA를 구현할 수 있지만, 반드시 그런 것은 아니다. 또한, 일부 실시예들에서, 적어도 하나의 프로세서(들)(502A 내지 502N)는 그래픽 프로세싱 유닛(graphics processing unit; GPU) 또는 다른 전용 그래픽 렌더링 디바이스일 수 있다.
시스템 메모리(504)는 프로세서(들)(502A 내지 502N)에 의해 액세스가능한 프로그램 명령어들 및/또는 데이터를 저장하도록 구성될 수 있다. 예를 들어, 메모리(504)는 도 7 내지 도 10에 도시된 소프트웨어 프로그램 및/또는 데이터베이스를 저장하는데 사용될 수 있다. 다양한 실시예들에서, 시스템 메모리(504)는 정적 랜덤 액세스 메모리(static random access memory; SRAM), 동기식 동적 RAM(synchronous dynamic RAM; SDRAM), 비휘발성/플래시 유형 메모리, 또는 임의의 다른 유형의 메모리와 같이 임의의 적절한 메모리 기술을 사용하여 구현될 수 있다. 예시된 바와 같이, 예를 들어 위에서 설명된 동작들과 같은 특정 동작들을 구현하는 프로그램 명령어들 및 데이터는 각각 프로그램 명령어들(518) 및 데이터 저장소(520)로서 시스템 메모리(504) 내에 저장될 수 있다. 다른 실시예들에서, 프로그램 명령어들 및/또는 데이터는 상이한 유형들의 컴퓨터로 액세스가능한 매체들 상에 또는 시스템 메모리(504) 또는 컴퓨터 시스템(500)으로부터 분리된 유사한 매체들 상에 수신되거나, 송신되거나 또는 저장될 수 있다. 일반적으로 말하면, 컴퓨터로 액세스가능한 매체는 전자, 자기, 또는 광학 매체[예를 들어, 버스(506)를 통해 컴퓨터 시스템(500)에 커플링되는 디스크 또는 CD/DVD-ROM], 또는 비휘발성 메모리 저장소(예를 들어, “플래시” 메모리)와 같은 임의의 유형의(tangible), 비일시적 저장 매체들 또는 메모리를 포함할 수 있다.
실시예에서, 버스(506)는 I/O 컨트롤러(들)(510)를 통해 연결되는, 네트워크 인터페이스(508) 또는 다른 주변 인터페이스들을 포함하여 프로세서(502), 시스템 메모리(504), 및 임의의 주변 디바이스들 간의 I/O 트래픽을 조정하도록 구성될 수 있다. 일부 실시예들에서, 버스(506)는 임의의 필요한 프로토콜, 타이밍 또는 하나의 컴포넌트[예를 들어, 시스템 메모리(504)]로부터의 데이터 신호들을 다른 컴포넌트[예를 들어, 프로세서(들)(502A 내지 502N)]에 의한 사용을 위해 적절한 포맷으로 변환하기 위한 다른 데이터 변환들을 수행할 수 있다. 일부 실시예들에서, 버스(506)는, 예를 들어 주변 컴포넌트 상호연결(Peripheral Component Interconnect; PCI) 버스 표준 또는 범용 직렬 버스(Universal Serial Bus; USB) 표준의 변형과 같은 다양한 유형들의 주변 버스들을 통해 부착되는 디바이스들에 대한 지원을 포함할 수 있다. 일부 실시예들에서, 버스(506)의 동작들은, 예를 들어 노스 브릿지(north bridge) 및 사우스 브릿지와 같은 2개 이상의 분리된 컴포넌트들로 분할될 수 있다. 또한, 일부 실시예들에서 시스템 메모리(504)에의 인터페이스와 같은 버스(506)의 동작들 중 일부 또는 모두는 프로세서(들)(502A 내지 502N)에 직접적으로 통합될 수 있다.
네트워크 인터페이스(508)는, 컴퓨터 시스템(500)과, 예를 들어 도 6에 도시된 바와 같은 PS-CAR 시뮬레이션 툴에 부착되는 다른 컴퓨터 시스템들과 같은 다른 디바이스들 사이에서 데이터가 교환되게 하도록 구성될 수 있다. 다양한 실시예들에서, 네트워크 인터페이스(508)는, 예를 들어 임의의 적절한 유형의 이더넷 네트워크와 같은 유선 또는 무선 일반 데이터 네트워크들을 통해, 아날로그 음성 네트워크들 또는 디지털 광섬유 통신 네트워크들과 같은 원격통신/전화통신 네트워크들을 통해, 광섬유 채널 SANs과 같은 저장 영역 네트워크들을 통해, 또는 임의의 다른 적절한 유형의 네트워크 및/또는 프로토콜을 통해 통신을 지원할 수 있다.
I/O 컨트롤러(들)(510)는, 일부 실시예들에서, 하나 이상의 디스플레이 단자, 키보드, 키패드, 터치 스크린, 스캐닝 디바이스, 음성 또는 광학 인식 디바이스, 또는 하나 이상의 컴퓨터 시스템(500)에 의해 데이터를 입력하거나 리트리빙(retrieving)하기 위해 적절한 임의의 다른 디바이스들에의 연결을 가능하게 한다. 다수의 입출력 디바이스들이 컴퓨터 시스템(500) 내에 존재할 수 있거나 또는 컴퓨터 시스템(500)의 다양한 노드들 상에 분산될 수 있다. 일부 실시예들에서, 유사한 I/O 디바이스들이 컴퓨터 시스템(500)으로부터 분리될 수 있고 유선 또는 무선 연결을 통해, 가령 네트워크 인터페이스(508)를 통해 컴퓨터 시스템(500)과 상호작용할 수 있다.
본원에서 사용되는 바와 같은 용어들 “유형의” 및 “비일시적”은 전파 전자기 신호들을 제외하고 컴퓨터로 판독가능한 저장 매체(또는 “메모리”)를 기술하도록 의도되지만, 문구 컴퓨터로 판독가능한 매체 또는 메모리에 포함되는 유형의 물리적인 컴퓨터로 판독가능한 저장 디바이스를 달리 제한하도록 의도되는 것은 아니다. 예를 들어, 용어들 “비일시적 컴퓨터로 판독가능한 매체” 또는 “유형의 메모리”는, 예를 들어 RAM을 포함하여 반드시 정보를 영구적으로 저장하는 것은 아닌 유형들의 저장 디바이스들을 포함하도록 의도된다. 비일시적 형식의 유형의 컴퓨터로 액세스가능한 저장 매체 상에 저장되는 프로그램 명령어들 및 데이터는, 전송 매체 또는 네트워크 및/또는 무선 링크와 같은 통신 매체를 통해 전달될 수 있는 전기, 전자기, 또는 디지털 신호들과 같은 신호들에 의해 이후에 전송될 수 있다.
도 5에 도시된 바와 같이, 메모리(504)는 본원에서 설명되는 특정 실시예들을 구현하도록 구성되는 프로그램 명령어들(518), 및 프로그램 명령어들(518)에 의해 액세스가능한 다양한 데이터를 포함하는 데이터 저장소(520)를 포함할 수 있다. 실시예에서, 프로그램 명령어들(518)은 도 6에 예시된 실시예들의 소프트웨어 엘리먼트들을 포함할 수 있다. 예를 들어, 프로그램 명령어들(518)은 임의의 원하는 프로그래밍 언어, 스크립팅 언어, 또는 프로그래밍 언어들 및/또는 스크립팅 언어들의 조합을 사용하여 다양한 실시예들에서 구현될 수 있다. 데이터 저장소(520)는, 도 11 내지 도 20에 예시된 바와 같은, 예를 들어 입력 파라미터들, 중간 스트림(mid-stream) 산출값들, 또는 출력 파라미터들과 같은, 이 실시예들에서 사용될 수 있는 데이터를 포함할 수 있다. 다른 실시예들에서, 다른 또는 상이한 소프트웨어 엘리먼트들 및 데이터가 포함될 수 있다.
당업자는, 컴퓨터 시스템(500)이 단지 예시적이며 본원에서 설명되는 개시의 범위를 제한하도록 의도되는 것은 아니라는 점을 이해할 것이다. 특히, 컴퓨터 시스템 및 디바이스들은 지시된 동작들을 수행할 수 있는 하드웨어 또는 소프트웨어의 임의의 조합을 포함할 수 있다. 또한, 예시된 컴포넌트들에 의해 수행되는 동작들은, 일부 실시예들에서 더 적은 컴포넌트들에 의해 수행되거나 또는 추가 컴포넌트들에 걸쳐 분산될 수 있다. 유사하게, 다른 실시예들에서, 예시된 컴포넌트들 중 일부의 동작들은 수행되지 않을 수 있거나/있고 다른 추가 동작들이 이용가능할 수 있다. 따라서, 본원에서 설명되는 시스템들 및 방법들은 다른 컴퓨터 시스템 구성들로 구현되거나 실행될 수 있다.
도 6은 PS-CAR 포토레지스트 시뮬레이션을 위한 시스템(600)의 일 실시예를 예시하는 개략적인 블록도이다. 실시예에서, 시스템(600)은 캘리브레이션 유닛(602) 및 PS-CAR 시뮬레이션 툴(604)을 포함한다. 캘리브레이션 유닛(602)은 PS-CAR 시뮬레이션 툴(604)에 의해 모델링될 PS-CAR 화학물에 특유한 하나 이상의 입력 파라미터를 생성할 수 있다. 실시예에서, 캘리브레이션 유닛(602)은 도 7 및 도 8에서 설명되는 방법의 실시예들 중 하나 이상과 연관되는 동작들을 수행하도록 구성될 수 있다.
PS-CAR 실뮬레이션 툴(604)은, 캘리브레이션 유닛에 의해 생성되는 입력 파라미터들, 사용될 PS-CAR 포토레지스트에 특유한 다른 입력 파라미터들, 시스템 및 프로세싱 파라미터들뿐만 아니라, 패터닝될 특정 피처들을 수신할 수 있다. 수신된 입력들에 응답하여, PS-CAR 시뮬레이션 툴(604)은, 예를 들어 도 1에서 설명된 시스템(100)에 의해, 사용될 PS-CAR 프로세싱 방법들을 나타내는 수치 모델을 산출할 수 있다. 일반적으로, PS-CAR 시뮬레이션 툴(604)은 도 9 및 도 10의 방법들에서 설명되는 동작들을 실행하도록 구성될 수 있다. 위에서 설명된 바와 같이, 캘리브레이션 유닛(602) 및 PS-CAR 시뮬레이션 툴의 다양한 모듈들 또는 유닛들은, 도 5에 예시된 것들과 같은 하나 이상의 프로세싱 디바이스에 의해 실행되도록 구성되고 메모리 디바이스 내에 저장되는 소프트웨어로 규정되는 모듈들일 수 있다.
실시예에서, 입력 인터페이스(606)는 PS-CAR 시뮬레이션 툴(604)에 대한 최적화된 입력들을 생성하기 위한 입력 파라미터들, 및 다른 제어들 및 명령들을 수신하도록 구성될 수 있다. 입력들의 예시들은 굴절률(refractive index), 딜 A(Dill A), 딜 B 파라미터들 등과 같은 포토레지스트의 광학 파라미터들을 포함할 수 있다. 추가 입력 파라미터들은 딜 C, ??차 로딩, 증폭 파라미터들, 산/염기(base) ??차 파라미터들, 및 산/염기 확산 파라미터들과 같은 산 생성 및 베이크 파라미터들을 포함할 수 있다. 또한, 예를 들어 현상 레이트(rate)(Rmax/Rmin) 파라미터들 등을 포함하여 현상 파라미터들이 수신될 수 있다. 당업자는, 입력들이 이들, 및 다른 파라미터들에 대한 초기 상태들일 수 있다는 점을 인식할 것이다. 대안적으로, 입력 인터페이스(606)에 의해 수신되는 입력들은 검증 실험들 등의 물리적 측정들로부터의 피드백을 포함할 수 있다.
실시예에서, 포토레지스트 프로파일 프로세서(608)가 수신된 입력들에 응답하여 포토레지스트층의 물리적 피처들의 추정치(estimate)를 산출할 수 있다. 예를 들어, 포토레지스트 프로파일 프로세서는 에지 샤프니스(sharpness), 샷 노이즈 등, 두께 손실 등과 같은 패턴 피처들, 포토레지스트층 두께의 모델을 산출할 수 있다. 또한, 포토레지스트 프로파일 프로세서(608)는 포토레지스트 볼륨 내의 감광제들, 프리커서들(감광제 생성기들), ??차들(광 분해가능 ??차들 또는 더 종래의 비 광 분해가능 ??차들), 산 생성기들의 개수를 결정할 수 있다.
포토레지스트 프로파일 프로세서(608)는 또한, 다음의 동작들 중 하나 이상을 수행하도록 구성될 수 있다: 감광제로 변환하기 위한 프리커서의 산 유도되는 탈보호 반응들의 개수를 결정하는 것, 포토레지스트 볼륨에 의해 흡수된 1차(primary) 노광 또는 2차 중간 UV(secondary mid-UV) 플루드의 광자들의 개수를 결정하는 것, 1차 노광에 의해 또는 2차 중간 UV 노광 파장 또는 일 범위의 파장들에 의한 감광제 활성화에 의해 산으로 변환된 산 생성기들 및 후속하여 여기된 감광제에 의해 분해된 산 생성기의 개수를 결정하는 것, 1차 노광 또는 2차 중간 UV 노광 파장, 또는 일 범위의 파장들에 의해 분해된 광 분해가능 ??차들(해당되는 경우)의 개수를 결정하는 것, 포토레지스트 볼륨 내의 산 및 ??차 중화(neutralization) 반응들의 개수를 결정하는 것, 보호된 폴리머의 산 유도되는 탈보호 반응들의 개수를 결정하는 것, 포토레지스트 볼륨의 현상을 산출하는 것, 포토레지스트 볼륨의 현상에 의해 생성된 포토레지스트 프로파일의 2차원(또는 3차원) 이미지를 프로세서로 생산하는 것, 및 포토레지스트 프로파일의 차원적 특성들을 결정하는 것. 당업자는, 이들이 단지 포토레지스트 프로파일 프로세서(608)에 의해 수행될 수 있는 산출들의 선택이라는 점을 인식할 것이다.
실시예에서, 포토레지스트 프로파일 프로세서(608)에 의해 산출되는 파라미터들은 출력 인터페이스(612)를 통해 PS-CAR 시뮬레이션 툴(604)에 제공될 수 있다. 다른 실시예들에서, 출력 인터페이스(612)는 실험 검증을 위한 산출된 파라미터들의 판독물(readout), 또는 출력물(printout)을 제공할 수 있다. 이에 응답하여, 엔지니어들 또는 과학자들은, 노광 파장 및 도우징 시간, 포토레지스트 퇴적 레이트, 웨이퍼 턴 레이트, PEB 사양들 등을 포함하여, 프로세싱 파라미터들에 따른 선택된 PS-CAR 화학물로 실제 리소그래피 프로세스를 수행함으로써, 산출된 파라미터들을 실험적으로 검증할 수 있다. 실험적으로 개발된 웨이퍼의 실제 치수들이 이어서 물리적으로 측정되고 모델 결과들과 비교된다. 모델링된 결과와 실제 결과 간의 차이들은 최적화 엔진(610)에 대한 피드백으로서 입력 인터페이스(606)에 제공된다.
최적화 엔진(610)은, 모델링된 결과들과 실제 실험 결과들 간의 에러를 감소시키거나 제거하기 위해, 그래디언트(gradient) 접근 알고리즘, 심플렉스(simplex) 알고리즘, 반 확률적 시뮬레이션된 어닐링(semi-stochastic simulated annealing) 알고리즘, 유전적(genetic) 알고리즘, 또는 다른 것들을 사용하여 입력 파라미터들을 수정할 수 있다. 일반적으로, 에러 피드백은 모델링된 결과와 실제 결과 간의 에러의 전역적 최소치(global minimum)를 생성하는 값들을 향해 입력 파라미터들을 당기거나 밀기 위한 방향 표시(directional sign)를 가질 수 있는 수치값이 할당될 것이다.
일부 실시예들에서, 최적화 엔진(610)은 위에서 설명된 바와 같이 PS-CAR 광학 파라미터들을 최적화할 수 있다. 또한, 최적화 엔진(610)은 산 생성 및 베이크 파라미터들을 최적화할 수 있다. 또 다른 실시예에서, 최적화 엔진(610)은 현상 파라미터들을 최적화할 수 있다. 일부 실시예들에서, 파라미터들의 이 3개의 카테고리들은 독립적으로 그리고 직렬로 최적화될 수 있다. 대안적으로, 카테고리들은 독립적으로 그리고 병렬로 최적화될 수 있다. 대안적으로, 카테고리들은 종속적으로 그리고 직렬로, 또는 종속적으로 그리고 병렬로 최적화될 수 있다. 이 최적화 기술들의 예시들이 도 8에 예시된다.
실제 포토레지스트 피처들과 모델링된 피처들 간의 차이가 임계값에 도달하면, 최적화 엔진(610)은 최적화 프로세스를 종료할 수 있고, 최적화된 파라미터들이 출력 인터페이스(612)를 통해 PS-CAR 시뮬레이션 툴(604)에 제공될 수 있다. 당업자는, 입력 인터페이스(606) 및 출력 인터페이스가 하드웨어 기반 입출력 인터페이스들일 수 있다는 점을 인식할 것이다. 대안적으로, 입력 인터페이스(606) 및 출력 인터페이스(612)는 소프트웨어로 규정될 수 있고, 입력들 및 출력들은 함수 호출(function call) 파라미터들 또는 함수 반환값들로서 소프트웨어의 함수들 또는 모듈들 간에 전달될 수 있다.
캘리브레이션 유닛(602)에 의해 제공되는 캘리브레이션된 파라미터들에 추가하여, PS-CAR 시뮬레이션 툴(604)은 모델링될 실제 프로세스에 대한 하나 이상의 프로세스 특유의 파라미터들을 수신할 수 있다. PS-CAR 시뮬레이션 툴(604)은 복수의 모듈들 또는 유닛들을 포함할 수 있고, 각각의 유닛은 프로세싱 단계와 연관된 모델 산출들 중 일부를 수행하도록 구성된다. 유닛들은 1차 노광 패터닝 광학 유닛(614), 1차 노광 패터닝 유닛(616), 사전 PEB 유닛(618), 2차 노광 패터닝 유닛(620), 2차 노광 유닛(622), PEB 유닛(624), 현상 유닛(626), 및 계측 유닛(628)을 포함할 수 있다. 모듈들은 연속체(continuum) 모델을 실행할 수 있다. 대안적으로, 모듈들은 부분적으로 확률적인 모델을 실행할 수 있다. 당업자는 대안적인 실시예들을 인식할 것이다.
실시예에서, 1차 노광 패터닝 광학 유닛(614)은 시뮬레이션된 EUV 소스를 포함할 수 있다. 시뮬레이터는 PS-CAR 포토레지스트층을 패터닝하기 위해 사용되는 상업적으로 이용가능한 광학 소스를 시뮬레이션할 수 있다. 추가 파라미터들은 강도(intensity), 초점 거리(focus length) 등을 포함할 수 있다. 1차 노광 패터닝 광학 유닛(614)의 추가 상세사항들은 도 14에 예시된다.
실시예에서, EUV 유닛(616)은 시뮬레이션된 EUV 소스로부터의 EUV 노광에 응답하여 PS-CAR 포토레지스트층에서의 응답을 모델링하도록 구성된다. 모델링된 파라미터들은 노광 시간/도스(dose), 마스크 피처 사이즈들, 스테퍼(stepper)/스캐너 설정들, 초점, 편광 등 중 하나 이상을 포함할 수 있다. 1차 노광 패터닝 유닛(616)의 추가 상세사항들은 도 15에 예시된다.
실시예에서, 사전 PEB 유닛(618)은 사전 PEB 감광제 확산 프로세스의 결과들을 모델링할 수 있다. 사전 PEB 유닛(618)은 일부 실시예들에서 선택적일 수 있거나, 또는 적어도 사전 PEB 확산 기간에 따라 선택적으로 이용될 수 있다. 사전 PEB 유닛(618)의 추가 상세사항들은 도 16에 예시된다.
실시예에서, 2차 광학 유닛(620)은 상업적으로 이용가능한 UV 소스를 시뮬레이션하도록 구성될 수 있다. 2차 광학 유닛(620)은 파장, 강도, 조명 방법 등을 모델링할 수 있다. 2차 광학 유닛(620)은 PS-CAR 포토레지스트층 상의 UV 플루드 프로세스의 물리적 결과들을 모델링하도록 UV 플루드 유닛(622)에 의해 사용될 수 있다. 2차 광학 유닛(620) 및 UV 플루드 유닛(622)의 추가 상세사항들은 도 17 및 도 18에 각각 예시된다.
실시예에서, PEB 유닛(624)은 노광 후 베이크 프로세스에 대한 포토레지스트의 응답을 모델링할 수 있다. 모델링된 파라미터들은 베이크 온도, 베이크 시간, 베이크 습도 등을 포함할 수 있고, PEB 유닛(624)의 추가 상세사항들은 도 19에서 설명된다.
실시예에서, 현상 유닛(626)은 현상 프로세스에 대한 PS-CAR 포토레지스트의 응답을 모델링하도록 구성될 수 있다. 모델링된 파라미터들은 상대적 표면 레이트, 억제(inhibition) 깊이, 및
다른 현상 조건들을 포함할 수 있다. 현상 유닛(626)에 의해 모델링되는 파라미터들의 추가 상세사항들은 도 20에 예시된다.
실시예에서, 계측 유닛(628)은 PS-CAR 포토레지스트층 상의 모델링된 피처들의 시뮬레이션된 측정값들을 제공하도록 구성된다. 측정값들은 레지스트 손실, 탈보호 레벨들 및 프로파일들 등을 포함할 수 있다. 일부 실시예들에서, 연속체 모델 출력들이 제공될 수 있다. 대안적인 실시예들에서, 확률적인 모델 출력들이 제공될 수 있다. 계측 유닛(628)에 의해 모델링되는 파라미터들의 추가 상세사항들은 도 21에 예시된다.
도 7은 PS-CAR 포토레지스트 모델의 입력 파라미터들을 캘리브레이션하기 위한 방법(700)의 일 실시예를 예시하는 개략적인 흐름도이다. 실시예에서, 방법(700)은 블록(702)에서 하나 이상의 PS-CAR 포토레지스트 파라미터를 수신하는 단계를 포함할 수 있다. 블록(704)에서 노광 파라미터들이 수신될 수 있다. 방법(700)은 수신된 PS-CAR 포토레지스트 파라미터들 및 수신된 노광 파라미터들에 응답하여 블록(706)에서 PS-CAR 포토레지스트 프로파일을 연산하는 단계를 포함할 수 있다. 블록(708)에서, 방법(700)은 PS-CAR 포토레지스트 프로파일의 실험적 검증으로부터의 피드백을 수신하는 단계를 포함할 수 있다. 블록(710)에서, 에러가 임계 마진 내에 있다고 결정되면, 블록(716)에서, 최적화된 파라미터들이 PS-CAR 시뮬레이션 툴에 입력으로서 제공된다. 에러가 임계 마진 내에 있지 않다고 결정되면, PS-CAR 포토레지스트 파라미터들 및 노광 파라미터들이 각각 블록들(712 및 714)에서 선택적으로 최적화될 수 있다. 최적화 루프는 블록(710)에서 임계값이 충족될 때까지 지속될 수 있다.
도 7 내지 도 10에 예시된 흐름도들은 PS-CAR 포토레지스트 프로파일을 산출하기 위한 방법들을 예시한다. 도 7 및 도 8은 모델 파라미터들을 캘리브레이션하기 위한 방법들에 관한 것이고, 도 9 및 도 10은 PS-CAR 포토레지스트 모델에 따라 PS-CAR 포토레지스트 프로파일을 산출하기 위한 방법들에 관한 것이다.
도 8은 PS-CAR 포토레지스트 모델의 입력 파라미터들을 캘리브레이션하기 위한 방법(800)의 일 실시예를 예시하는 개략적인 흐름도이다. 실시예에서, 방법(800)은 블록(802)에서 PS-CAR 파라미터들을 수신하는 단계를 포함한다. 광학 파라미터들이, 블록(806)에서 임계값에 도달할 때까지 블록(804)에서 실험적 검증으로부터의 피드백에 응답하여 최적화될 수 있다. 산 생성 및 베이크 파라미터들이, 블록(810)에서 임계값에 도달할 때까지 블록(808)에서 실험적 결과들로부터의 피드백에 응답하여 최적화될 수 있다. 추가적으로, 현상 파라미터들이, 블록(814)에서 임계값에 도달할 때까지 블록(812)에서 실험적 검증으로부터의 피드백에 응답하여 최적화될 수 있다. 파라미터들이 최적화되면, 이들은 블록(816)에서 PS-CAR 시뮬레이션 툴에 입력으로서 제공될 수 있다.
도 9는 PS-CAR 포토레지스트를 모델링하기 위한 방법(900)의 일 실시예를 예시하는 개략적인 블록도이다. 실시예에서, 방법(900)은 블록(902)에서 PS-CAR 포토레지스트 파라미터를 수신하는 단계 및 블록(904)에서 PS-CAR 노광 파라미터들을 수신하는 단계를 포함한다. 방법(900)은 블록(906)에서 PS-CAR 포토레지스트 프로파일 모델에 따라 PS-CAR 포토레지스트 프로파일을 연산하는 단계를 더 포함한다. 블록(908)에서, 방법(900)은 프로파일에 응답하여 PS-CAR 포토레지스트 프로파일 출력을 생성하는 단계를 포함한다.
도 10은 PS-CAR 포토레지스트를 모델링하기 위한 방법(1000)의 일 실시예를 예시하는 개략적인 블록도이다. 실시예에서, 방법(1000)은 블록(1002)에서 PS-CAR 포토레지스트 파라미터를 수신하는 단계 및 블록(1004)에서 PS-CAR 노광 파라미터 입력들을 수신하는 단계를 포함한다. 블록(1006)에서, 방법은 EUV 노광에 대한 응답을 산출하는 단계를 포함할 수 있다. 블록(1008)에서, 방법(1000)은 사전 PEB 확산 단계에 대한 응답을 산출하는 단계를 포함할 수 있다. 블록(1010)에서, 방법은 UV 플루드에 대한 응답을 산출하는 단계를 포함할 수 있다. 블록(1012)에서, 방법(1000)은 PEB에 대한 응답을 산출하는 단계를 포함할 수 있다. 블록(1014)은 현상 모델을 산출하는 단계를 포함할 수 있다. 블록(1016)은 계측 결과들을 산출하는 단계를 포함한다. 블록(1018)은 단계들(1006 내지 1016)에서 산출된 값들에 응답하여 출력들을 제공하는 단계를 포함한다.
도 11은 PS-CAR 포토레지스트 모델에 대한 입출력 데이터 설정의 실시예를 예시하는 개략적인 입출력도이다. 실시예에서, PS-CAR 시뮬레이션 툴(604)에 의해 모델이 실행될 수 있다. 입력들(1102)에 대한 예시들은 피처 레이아웃, 노광 커널(kernel), 및 EUV 플레어(flare) 커널, 레지스트 파라미터 모델 등을 포함할 수 있다. 실시예에서, 입력 파라미터들 중 일부 또는 모두는 캘리브레이션 유닛(602)에 의해 제공될 수 있다. 출력들(1104)의 예시들은 마스크 데이터, 레이아웃 의존 분산 대역(variance band)들, 라인 엔드 쇼트닝(Line End Shortening; LES), 및 프로세스 윈도우(Process Window; PW)를 포함한다.
도 12는 PS-CAR 포토레지스트 시뮬레이터에 대한 입력 데이터 설정의 일 실시예를 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 입력들은 PS-CAR 화학물 입력들, 노광 입력들, PEB 입력들 등을 포함할 수 있다. 노광 입력들의 예시들은 2차 노광 규정, 드릴 C 파라미터들, 2차 (UV) 노광에서의 n,k 파라미터들, PS 생성기 그래디언트 깊이 등을 포함한다. PEB 입력들의 예시들은 프리커서, 감광제, 확산도, PS 생성 반응, 산 ??차 및 중화에 대한 파라미터들을 포함한다. 실시예에서, 사전 PEB 파라미터들이 또한 제공될 수 있다. 연속체 모델들 및 확률적 모델들에 대한 추가 입력들뿐만 아니라 조명 소스 파라미터들 등이 제공될 수 있다.
도 13은 PS-CAR 포토레지스트 모델에 대한 출력 데이터 설정의 일 실시예를 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 출력들은 연속체 모델 프로파일, 확률적 모델 출력들뿐만 아니라 PS 생성기 프로파일들과 관련된 PS-CAR 포토레지스트 특유의 출력들, 및 2차 노광 프로파일들을 포함할 수 있다. 추가 실시예에서, PS-CAR 화학물 대 다른 방법들의 효과의 비교를 나타내는 비교 분석이 제공될 수 있다.
도 14는 PS-CAR 포토레지스트 모델 툴(604)의 1차 노광 패터닝 광학 유닛(614)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 1차 노광 패터닝 광학 유닛(614)은 마스크 입력(1402), 조명 입력(1404), 스택(stack) 입력(1406), 및 종래의 연속체 레지스트 화학물 입력들(1408)을 포함하는 다수의 세트들의 입력들을 포함할 수 있다. 출력(1410)은 2D 평면 또는 3D 공간 중 하나에서의 EUV 상대 강도를 도시하는, 모델에 의해 생성된 이미지를 나타내는 이미지, 또는 데이터를 포함할 수 있다.
도 15는 PS-CAR 포토레지스트 모델링 툴(604)의 1차 노광 패터닝 유닛(616)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 모듈에 대한 입력들은 1차 노광 패터닝 광학 유닛(614)의 출력인 EUV 상대 강도 데이터(1410)를 포함한다. 추가 입력들은 연속체 레지스트 화학물 입력들(1502) 및 스캐너 입력들(1504)을 포함한다. EUV 유닛(616)은 입력들을 프로세싱하여 EUV 노광된 잠상(1506), 또는 이를 나타내는 데이터세트를 생성할 수 있다.
도 16은 PS-CAR 포토레지스트 모델링 툴(604)의 사전 PEB 모듈(618)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 사전 PEB 모듈(618)에 대한 입력들은 1차 노광 패터닝 유닛(616)의 출력인 EUV 노광된 잠상(1506)을 포함한다. 추가 입력들은 연속체 레지스트 화학물 입력들(1602) 및 트랙(track) 입력들(1604)을 포함한다. 사전 PEB 모듈(618)은 이 입력들을 프로세싱하고 사전 PEB(1606) 후의 잠상, 또는 이를 나타내는 데이터를 생성할 수 있다.
도 17은 PS-CAR 포토레지스트 시뮬레이션 툴(604)의 2차 광학 유닛(620)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 2차 광학 유닛(620)에 대한 입력들은 사전 PEB 모듈(618)의 출력인 사전 PEB(1606) 후의 잠상을 포함한다. 추가 입력들은 스택 입력들(1702) 및 연속체 레지스트 화학물 입력들(1704)을 포함할 수 있다. 2차 광학 유닛(620)은 이 입력들을 프로세싱하고 UV 플루드 상대 강도 이미지(1706), 또는 이를 나타내는 데이터를 생성할 수 있다.
도 18은 PS-CAR 포토레지스트 시뮬레이션 툴(604)의 2차 플루드 유닛(622)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 2차 플루드 유닛(622)에 대한 입력들은 사전 PEB 모듈(618)의 출력인 사전 PEB(1606) 후의 잠상뿐만 아니라, 2차 광학 유닛(620)의 출력인 UV 플루드 상대 강도 이미지(1706)를 포함한다. 추가 입력들은 연속체 레지스트 화학물 입력들(1802) UV 입력들(1804) 등을 포함할 수 있다. 2차 플루드 유닛(622)은 이 입력들에 응답하여 PEB(1806) 전의 잠상을 생성할 수 있다.
도 19는 PS-CAR 포토레지스트 시뮬레이션 툴(604)의 PEB 모듈(624)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, PEB 모듈(624)에 대한 입력들은 2차 플루드 유닛(622)에 의해 생성된 PEB(1806) 전의 잠상을 포함한다. 추가 입력들은 연속체 레지스트 화학물 입력들(1902) 및 트랙 입력들(1904)을 포함할 수 있다. PEB 모듈(624)은 이 입력들에 응답하여 PEB(1906) 후의 잠상을 생성할 수 있다.
도 20은 PS-CAR 포토레지스트 시뮬레이션 툴(604)의 현상제 모듈(626)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 현상 모듈(626)에 대한 입력들은 PEB(1906) 후의 잠상을 포함한다. 추가 입력들은 연속체 레지스트 화학물 입력들(2002) 및 트랙 입력들(2004)을 포함할 수 있다. 이 입력들에 응답하여, 현상 모듈(626)은 현상(2006) 후의 최종 이미지를 생성할 수 있다.
도 21은 PS-CAR 포토레지스트 시뮬레이션 툴(604)의 계측 모듈(628)의 일 실시예의 동작을 예시하는 개략적인 파라미터 아키텍처도이다. 실시예에서, 계측 모듈(628)에 대한 입력들은 현상 모듈(626)에 의해 생성된 현상(2006) 후의 최종 이미지를 포함할 수 있다. 추가 입력들은 계측 입력들(2102)을 포함할 수 있다. 이 입력들에 응답하여, 계측 모듈(628)은, 연속체 파라미터들 및 확률적 파라미터들을 포함하는, PS 생성기 파라미터 출력들(2104) 및 추가 프로파일 출력들(2106)을 생성할 수 있다.
당업자는, 도 14 내지 도 21과 관련하여 설명된 입력들 및 출력들이 단지 PS-CAR 포토레지스트 시뮬레이션 툴(604)의 다양한 모듈들에 의해 프로세싱될 수 있는 유형들의 입력들 및 출력들을 나타낸다는 점을 인식할 것이다. 모델 설계 요건들에 따라 특정 입력들 또는 출력들이 추가되거나, 생략되거나, 또는 변형된 다양한 대안적인 실시예들이 존재한다. 또한, 당업자는, 모델이 실제 이미지들을 입력들 및 출력들, 또는 대안적으로 데이터세트들로서 처리하도록 구성될 수 있다는 점을 인식할 것이다. 특정 실시예들에서, 데이터세트들은 이미지 내에서 발견되는 피처들, 또는 이미지 자체를 나타낼 수 있다.
당업자는 위의 교시에 비추어 많은 변형예 및 변경예가 가능하다는 점을 이해할 수 있다. 당업자는 도면들에 도시된 다양한 컴포넌트에 대한 다양한 균등한 조합들 및 대체들을 인식할 것이다. 따라서 본 발명의 범위가 이 상세한 설명에 의해서가 아닌 본원에 첨부된 청구항에 의해 제한되는 것으로 의도된다.

Claims (24)

  1. 리소그래피 프로세스를 시뮬레이션하기 위한 방법에 있어서,
    입력 인터페이스에서, 리소그래피 프로세스에서의 사용을 위한 방사선 감응성(radiation-sensitive) 재료에 대한 복수의 프로세스 파라미터들을 수신하는 단계로서, 상기 방사선 감응성 재료는 감광되고 화학적으로 증폭된 레지스트(Photo-Sensitized Chemically-Amplified Resist; PS-CAR) 재료이고, 상기 PS-CAR 재료는,
    상기 PS-CAR 재료 내의 산(acid)의 생성을 제 1 산 농도로 제어하고 상기 PS-CAR 재료 내의 감광제(photosensitizer; PS) 분자들의 생성을 제어하는 제 1 광 파장 활성화 임계값; 및
    상기 산이 상기 제 1 산 농도보다 큰 제 2 산 농도를 포함하는 것을 초래하는 상기 PS-CAR 재료 내의 상기 PS 분자들을 여기시킬 수 있는 제 2 광 파장 활성화 임계값 - 상기 제 2 광 파장은 상기 제 1 광 파장과 상이함 - 을 포함하고,
    상기 복수의 프로세스 파라미터들은 상기 PS-CAR 재료의 화학물(chemistry)에 특유한 물리적 파라미터,
    상기 제 1 광 파장 활성화 임계값에 따른 제 1 방사선 노광 단계와 연관된 제 1 방사선 노광 파라미터, 및 상기 제 2 광 파장 활성화 임계값에 따른 제 2 방사선 노광 단계와 연관된 제 2 방사선 노광 파라미터를 포함하는 것인, 상기 복수의 프로세스 파라미터들을 수신하는 단계;
    데이터 프로세서를 사용하여, 리소그래피 프로세스 모델에 따라, 그리고 상기 물리적 파라미터 및 상기 제 1 및 제 2 방사선 노광 파라미터들에 응답하여, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계; 및
    출력 인터페이스에서, 상기 방사선 감응성 재료의 상기 프로파일을 포함하는 출력을 생성하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  2. 제 1 항에 있어서, 상기 제 1 광 파장의 방사선을 방출하도록 구성되는 제 1 방사선 소스를 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  3. 제 2 항에 있어서, 상기 방사선 감응성 재료의 패터닝된 경화(hardening)를 시뮬레이션하기 위해 상기 제 1 광 파장의 상기 제 1 방사선 소스로부터의 상기 방사선에의 상기 방사선 감응성 재료의 노광을 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  4. 제 3 항에 있어서, 감광제 확산 기간을 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  5. 제 3 항에 있어서, 상기 제 2 광 파장의 방사선을 방출하도록 구성되는 제 2 방사선 소스를 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  6. 제 5 항에 있어서, 상기 방사선 감응성 재료의 산 생성 성분들의 현상(development)을 시뮬레이션하기 위해 상기 제 2 광 파장의 상기 제 2 방사선 소스로부터의 상기 방사선에의 상기 방사선 감응성 재료의 노광을 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  7. 제 1 항에 있어서, 상기 방사선 감응성 재료의 노광 후 베이크(Post-Exposure Bake; PEB)를 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  8. 제 1 항에 있어서, 상기 방사선 감응성 재료의 현상을 시뮬레이션하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  9. 제 1 항에 있어서, 상기 방사선 감응성 재료의 하나 이상의 시뮬레이션된 피처를 수치적으로 측정하는 단계를 더 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  10. 제 1 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 방사선 감응성 재료의 볼륨 내의 산 생성기들의 개수, 광 분해가능 ??차들의 개수, 감광제 생성기들의 개수, 및 감광제들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  11. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 감광제로 변환하기 위한 프리커서 생성기들의 산 유도되는 탈보호 반응들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  12. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 방사선 감응성 재료의 볼륨에 의해 흡수되는 상기 제 1 방사선 노광 단계 또는 상기 제 2 방사선 노광 단계의 광자들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  13. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 제 1 방사선 노광 단계에 의해 또는 상기 제 2 방사선 노광 단계에 의한 감광제 활성화에 의해, 그리고 여기된 감광제에 의한 후속 산 생성기 분해에 의해, 산으로 변환되는 상기 산 생성기들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  14. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 제 1 방사선 노광 단계 또는 상기 제 2 방사선 노광 단계에 의해 분해된 상기 광 분해가능 ??차들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  15. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 방사선 감응성 재료의 볼륨 내의 산 및 ??차 중화(neutralization) 반응들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  16. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 보호된 폴리머의 산 유도되는 탈보호 반응들의 개수를 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  17. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 방사선 감응성 재료의 볼륨의 현상을 산출하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  18. 제 17 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 방사선 감응성 재료의 볼륨의 현상에 의해 생성된 상기 방사선 감응성 재료의 프로파일의 2차원 또는 3차원 이미지를 상기 데이터 프로세서로 생산하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  19. 제 10 항에 있어서, 상기 방사선 감응성 재료의 프로파일을 산출하는 단계는, 상기 방사선 감응성 재료의 프로파일의 차원적 특성들을 결정하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  20. 제 1 항에 있어서, 상기 물리적 파라미터는 상기 제 2 방사선 노광 단계에서의 딜 A(Dill A), 딜 B, 딜 C, n 및 k 굴절률, PS 분자 화학물, 상기 PS 분자들의 상대 표면 농도, PS 생성기 그래디언트 깊이, PS 분자 확산도, 및 PS 생성 반응 중 적어도 하나인 것인, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  21. 감광되고 화학적으로 증폭된 레지스트(Photo-Sensitized Chemically-Amplified Resist; PS-CAR) 재료 - 상기 PS-CAR 재료는, 산(acid)의 생성을 제 1 산 농도로 제어하고, 감광제(photosensitizer; PS) 생성기로부터의 상기 PS-CAR 재료 내의 PS 분자들의 생성을 제어하는 제 1 광 파장 활성화 임계값, 및 상기 산이 상기 제 1 산 농도보다 큰 제 2 산 농도를 포함하는 것을 초래하는 상기 PS-CAR 재료 내의 상기 PS 분자들을 여기시킬 수 있는 제 2 광 파장 활성화 임계값을 포함하고, 상기 제 2 광 파장은 상기 제 1 광 파장과 상이함 - 에 대한 리소그래피 프로세스를 시뮬레이션하기 위한 방법에 있어서,
    입력 인터페이스에서,
    (i) 상기 PS-CAR 재료의 광학 파라미터 또는 화학 파라미터,
    (ii) 상기 제 1 광 파장 활성화 임계값에 따른 제 1 방사선 노광 단계와 연관된 시뮬레이션된 EUV 소스를 포함하는 제 1 방사선 노광 파라미터, 및
    (iii) 상기 제 2 광 파장 활성화 임계값에 따른 제 2 방사선 노광 단계와 연관된 시뮬레이션된 UV 소스를 포함하는 제 2 방사선 노광 파라미터
    를 포함하는 복수의 프로세스 파라미터들을 수신하는 단계;
    데이터 프로세서를 사용하여, 리소그래피 프로세스 모델에 따라, 그리고 상기 광학 파라미터 및 상기 제 1 및 제 2 방사선 노광 파라미터들에 응답하여 상기 PS-CAR 재료의 프로파일을 산출하는 단계; 및
    출력 인터페이스에서, 상기 PS-CAR 재료의 상기 프로파일을 포함하는 출력을 생성하는 단계를 포함하는, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  22. 제 21 항에 있어서, 상기 광학 파라미터 또는 상기 화학 파라미터는 상기 제 2 방사선 노광 단계에서의 딜 A(Dill A), 딜 B, 딜 C, n 및 k 굴절률, PS 분자 화학물, 상기 PS 분자들의 상대 표면 농도, PS 생성기 그래디언트 깊이, PS 분자 확산도, 및 PS 생성 반응 중 적어도 하나를 포함하는 것인, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  23. 제 21 항에 있어서, 상기 제 1 방사선 노광 파라미터는 노광 시간/도스(dose), 마스크 피처 사이즈들, 스테퍼(stepper)/스캐너 설정들, 초점, 및 편광 중 적어도 하나를 더 포함하는 것인, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
  24. 제 21 항에 있어서, 상기 제 2 방사선 노광 파라미터는 파장, 강도 및 조명 방법 중 적어도 하나를 더 포함하는 것인, 리소그래피 프로세스를 시뮬레이션하기 위한 방법.
KR1020187026726A 2016-02-19 2017-02-17 감광되고 화학적으로 증폭된 레지스트(ps-car) 시뮬레이션 KR102471849B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/048,584 US10429745B2 (en) 2016-02-19 2016-02-19 Photo-sensitized chemically amplified resist (PS-CAR) simulation
US15/048,584 2016-02-19
PCT/US2017/018332 WO2017143163A1 (en) 2016-02-19 2017-02-17 Photo-sensitized chemically amplified resist (ps-car) simulation

Publications (2)

Publication Number Publication Date
KR20180122634A KR20180122634A (ko) 2018-11-13
KR102471849B1 true KR102471849B1 (ko) 2022-11-28

Family

ID=59626315

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187026726A KR102471849B1 (ko) 2016-02-19 2017-02-17 감광되고 화학적으로 증폭된 레지스트(ps-car) 시뮬레이션

Country Status (6)

Country Link
US (1) US10429745B2 (ko)
JP (2) JP7255058B2 (ko)
KR (1) KR102471849B1 (ko)
CN (1) CN109073984B (ko)
TW (1) TWI657347B (ko)
WO (1) WO2017143163A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
DE102016221261A1 (de) * 2016-10-28 2018-05-03 Carl Zeiss Smt Gmbh Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente
EP3809206A4 (en) * 2018-06-14 2021-08-18 Osaka University METHOD OF MANUFACTURING A RESIST PATTERN
JP7360799B2 (ja) * 2018-08-20 2023-10-13 東京エレクトロン株式会社 レジストパターンをシミュレーションする方法、レジスト材料の組成の最適化方法、及び放射線の照射条件又は目標パターンの最適化方法
KR20220046598A (ko) 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 확률 중심 결함 교정을 위한 방법 및 공정
KR20220149823A (ko) 2021-04-30 2022-11-09 삼성전자주식회사 멀티-스케일 시뮬레이션을 이용한 리소그라피 방법, 및 그 리소그라피 방법을 기반으로 한 반도체 소자 제조방법 및 노광 설비

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000232057A (ja) * 1999-02-10 2000-08-22 Hitachi Ltd レジストパターンのシミュレーション方法およびパターン形成方法
JP2013511152A (ja) * 2009-11-12 2013-03-28 ケーエルエー−テンカー・コーポレーション フォトレジストシミュレーション
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
WO2015127353A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
WO2015127348A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JP2723405B2 (ja) 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JPH0990621A (ja) 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
US5703375A (en) 1996-08-02 1997-12-30 Eaton Corporation Method and apparatus for ion beam neutralization
US5905019A (en) 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000208408A (ja) 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
JP3181563B2 (ja) * 1999-02-17 2001-07-03 株式会社半導体先端テクノロジーズ レジストパターン形状のシミュレーション方法およびシミュレーション装置
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
WO2001098838A2 (en) 2000-06-22 2001-12-27 Koninklijke Philips Electronics N.V. Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method
US6548219B2 (en) 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4974049B2 (ja) 2004-02-20 2012-07-11 株式会社ニコン 露光方法、露光装置、並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
US7687205B2 (en) 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
JP2007334036A (ja) 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
DE102006053074B4 (de) 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
CN102056913A (zh) 2008-06-12 2011-05-11 巴斯夫欧洲公司 锍衍生物及其作为潜酸的用途
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
WO2010096615A2 (en) 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
US8161424B2 (en) * 2009-07-28 2012-04-17 Synopsys, Inc. Method and apparatus for modeling chemically amplified resists
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8910093B2 (en) * 2010-09-29 2014-12-09 Nikon Corporation Fast photoresist model
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
JP2013023039A (ja) * 2011-07-19 2013-02-04 Aisin Seiki Co Ltd シートスライド装置
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
CN103034063B (zh) * 2011-09-29 2015-03-04 中芯国际集成电路制造(北京)有限公司 光刻设备
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
NL2010162A (en) * 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
KR102062966B1 (ko) 2013-02-20 2020-01-06 오사카 유니버시티 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
TWI600966B (zh) 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
DE112015000546T5 (de) 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
US9733576B2 (en) * 2014-03-17 2017-08-15 Kla-Tencor Corporation Model for accurate photoresist profile prediction
US9645495B2 (en) 2014-08-13 2017-05-09 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6512994B2 (ja) 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000232057A (ja) * 1999-02-10 2000-08-22 Hitachi Ltd レジストパターンのシミュレーション方法およびパターン形成方法
JP2013511152A (ja) * 2009-11-12 2013-03-28 ケーエルエー−テンカー・コーポレーション フォトレジストシミュレーション
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
WO2015127353A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
WO2015127348A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist

Also Published As

Publication number Publication date
TW201738787A (zh) 2017-11-01
US20170242342A1 (en) 2017-08-24
CN109073984B (zh) 2021-07-06
CN109073984A (zh) 2018-12-21
JP7255058B2 (ja) 2023-04-11
WO2017143163A1 (en) 2017-08-24
JP2019507382A (ja) 2019-03-14
JP2022027791A (ja) 2022-02-14
TWI657347B (zh) 2019-04-21
US10429745B2 (en) 2019-10-01
KR20180122634A (ko) 2018-11-13

Similar Documents

Publication Publication Date Title
CN109073985B (zh) 光敏化学放大型抗蚀剂(ps-car)模型校准
KR102471849B1 (ko) 감광되고 화학적으로 증폭된 레지스트(ps-car) 시뮬레이션
CN110012672B (zh) 用于负型可显影光致抗蚀剂的计算机建模及模拟的改进方法
US8321818B2 (en) Model-based retargeting of layout patterns for sub-wavelength photolithography
US8146026B2 (en) Simultaneous photolithographic mask and target optimization
US20060248498A1 (en) Apparatus and method for photomask design
US7493590B1 (en) Process window optical proximity correction
Nagahara et al. EUV resist chemical gradient enhancement by UV flood exposure for improvement in EUV resist resolution, process control, roughness, sensitivity, and stochastic defectivity
JP2004228228A (ja) 形状シミュレーション方法、形状シミュレーションプログラム及びマスクパターン作成方法
US9223911B2 (en) Optical model employing phase transmission values for sub-resolution assist features
US20160140278A1 (en) Modeling Photoresist Shrinkage Effects In Lithography
JP7360799B2 (ja) レジストパターンをシミュレーションする方法、レジスト材料の組成の最適化方法、及び放射線の照射条件又は目標パターンの最適化方法
US6295637B1 (en) Simulator for the post-exposure bake of chemically amplified resists
JP2000241984A (ja) レジストパターン形状のシミュレーション方法およびシミュレーション装置
Kim et al. Sensitivity of simulation parameter for critical dimension
Kong et al. Predicting the critical features of the chemically-amplified resist profile based on machine learning
Zhu Development modeling and experiment verification for chemically amplified photoresists
Croffie et al. Survey of chemically amplified resist models and simulator algorithms
Lin et al. High speed and flexible PEB 3D diffusion simulation based on Sylvester equation

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant