KR102465110B1 - 반도체 요소의 효율적인 전달을 위한 시스템 및 방법 - Google Patents

반도체 요소의 효율적인 전달을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR102465110B1
KR102465110B1 KR1020187022007A KR20187022007A KR102465110B1 KR 102465110 B1 KR102465110 B1 KR 102465110B1 KR 1020187022007 A KR1020187022007 A KR 1020187022007A KR 20187022007 A KR20187022007 A KR 20187022007A KR 102465110 B1 KR102465110 B1 KR 102465110B1
Authority
KR
South Korea
Prior art keywords
film
die
support structure
delete delete
elements
Prior art date
Application number
KR1020187022007A
Other languages
English (en)
Other versions
KR20180094105A (ko
Inventor
싸이프리안 에메카 유조
폴 엠. 엔퀴스트
쥬니어. 가이우스 길먼 파운틴
Original Assignee
인벤사스 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인벤사스 본딩 테크놀로지스 인코포레이티드 filed Critical 인벤사스 본딩 테크놀로지스 인코포레이티드
Publication of KR20180094105A publication Critical patent/KR20180094105A/ko
Application granted granted Critical
Publication of KR102465110B1 publication Critical patent/KR102465110B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/75Apparatus for connecting with bump connectors or layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7525Means for applying energy, e.g. heating means
    • H01L2224/753Means for applying energy, e.g. heating means by means of pressure
    • H01L2224/75301Bonding head
    • H01L2224/75314Auxiliary members on the pressing surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7525Means for applying energy, e.g. heating means
    • H01L2224/753Means for applying energy, e.g. heating means by means of pressure
    • H01L2224/75301Bonding head
    • H01L2224/75314Auxiliary members on the pressing surface
    • H01L2224/75317Removable auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7598Apparatus for connecting with bump connectors or layer connectors specially adapted for batch processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Die Bonding (AREA)

Abstract

요소의 효율적인 전달을 위한 시스템 및 방법이 개시된다. 복수의 다이싱된 집적 디바이스 다이를 지지하는 필름이 제공될 수 있다. 복수의 다이싱된 집적 디바이스 다이는 필름의 표면을 따라 서로 인접하게 배치될 수 있다. 필름은 필름의 표면이 지지 구조체의 지지 표면을 향하도록 지지 구조체에 인접하게 위치될 수 있다. 필름은 선택된 제1 다이가 지지 구조체의 제1 위치와 정렬되도록 지지 구조체에 대해 측방향으로 선택적으로 위치될 수 있다. 선택된 제1 다이가 필름으로부터 지지 구조체로 직접 전달되게 하도록 필름의 표면에 평행하지 않은 방향으로 힘이 인가될 수 있다.

Description

반도체 요소의 효율적인 전달을 위한 시스템 및 방법
관련 출원에 대한 상호 참조
본 출원은 각각의 전체 내용이 전체적으로 그리고 모든 목적을 위해 본 명세서에 참고로 포함되는, 2016년 1월 13일자로 출원된 미국 가특허 출원 제62/278,354호 및 2016년 3월 4일자로 출원된 제62/303,930호에 대해 우선권을 주장한다.
기술분야
본 분야는 일반적으로 지지 구조체(support structure)로의 반도체 요소의 효율적인 전달을 위한, 특히 필름으로부터 지지 구조체로의 집적 디바이스 다이(integrated device die)의 효율적인 전달을 위한 시스템 및 방법에 관한 것이다.
집적 디바이스 다이는 전형적으로, 필름(예컨대, 테이프 또는 다른 접착 필름) 상에 배치되고 다이싱되어(diced) 복수의 별개의 집적 디바이스 다이를 형성하는 반도체 웨이퍼(semiconductor wafer) 상에 형성된다. 통상적인 반도체 공정에서, 다이싱된 집적 디바이스 다이는 다이싱 테이프로부터 개별적으로 제거되고, 다이 트레이(die tray), 와플 팩(waffle pack) 또는 다른 처리 장치와 같은 중간 캐리어(intermediate carrier) 상에 배치된다. 예를 들어, 일부 배열에서, 로봇 아암(robotic arm)이 개별적으로 다이를 다이 트레이로부터 취출하여(pick) 중간 캐리어에 배치하기 위해 사용된다. 디바이스 다이는 추가의 처리를 받을 수 있고/있거나, 중간 캐리어로부터 다른 처리 스테이션으로, 그리고 궁극적으로 패키징 플랫폼(packaging platform), 예컨대 패키지 기판(package substrate)(예컨대, 인쇄 회로 보드, 리드프레임(leadframe) 등)으로 이동될 수 있다.
그러나, 로봇 픽-앤드-플레이스 기계(robotic pick-and-place machine)의 사용은 비효율적이고 시간-소모적일 수 있는데, 왜냐하면 기계의 엔드 이펙터(end effector)가 개별적으로 각각의 다이를 다이싱 테이프로부터 제거하여 그것을 중간 캐리어의 특정 위치 상에 배치하는 데 수초를 소요할 수 있기 때문이다. 따라서, 다이를 픽-앤드-플레이스 기계를 사용하여 한 번에 하나씩 이동시키는 것은 전체 처리 시간을 증가시키고/시키거나 처리의 병목현상(bottleneck)을 생성할 수 있고, 이는 제조 비용을 증가시킨다. 일부 배열에서, 릴-투-릴 테이프 기계(reel-to-reel tape machine)가 다이를 다이싱 테이프로부터 중간 캐리어로 이동시키기 위해 사용될 수 있다. 그러나, 릴-투-릴 기계는 단지 다이를 1차원으로(즉, 하나의 릴로부터 바로 다른 릴로 선형 방향으로) 이동시키고 배열한다.
따라서, 필름으로부터 지지 구조체로의 선택된 다이의 효율적인 전달을 위한 개선된 시스템 및 방법이 여전히 필요하다.
일 실시예에서, 지지 구조체 상에 다이들을 장착하기 위한 방법이 개시된다. 방법은 복수의 개별화된 요소들(singulated elements) 또는 집적 디바이스 다이들을 지지하는 필름을 제공하는 단계를 포함할 수 있고, 복수의 개별화된 요소들 또는 집적 디바이스 다이들은 필름의 표면을 따라 서로 인접하게 배치된다. 방법은 필름의 표면이 지지 구조체의 지지 표면을 향하도록 필름을 지지 구조체에 인접하게 위치시키는 단계를 포함할 수 있다. 방법은 선택된 제1 요소 또는 다이가 지지 구조체의 제1 위치와 정렬되도록 필름을 지지 구조체에 대해 측방향으로 선택적으로 위치시키는 단계를 포함할 수 있다. 방법은 선택된 제1 다이가 필름으로부터 지지 구조체로 직접 전달되게 하도록 필름의 표면에 평행하지 않은 방향으로 힘을 인가하는 단계를 포함할 수 있다.
다른 실시예에서, 집적 디바이스 다이들을 접합하기 위한 방법이 개시된다. 방법은 제1 복수의 개별화된 집적 디바이스 다이들을 지지하는 필름을 제공하는 단계를 포함할 수 있고, 제1 복수의 개별화된 집적 디바이스 다이들은 필름의 제1 표면을 따라 서로 인접하게 배치된다. 방법은 제2 복수의 집적 디바이스 다이들을 지지하는 지지 구조체를 제공하는 단계를 포함할 수 있고, 제2 복수의 집적 디바이스 다이들은 지지 구조체의 제2 표면을 따라 서로 인접하게 배치된다. 방법은 또한 제1 복수의 개별화된 집적 디바이스 다이들 또는 요소들로부터의 선택된 제1 다이가 제2 복수의 개별화된 집적 디바이스 다이들로부터의 제2 다이와 정렬되고 제2 다이를 향하도록 필름을 지지 구조체에 인접하게 위치시키는 단계를 포함할 수 있다. 방법은 제1 다이가 제2 다이와 접촉하게 하도록 필름의 제1 표면에 평행하지 않은 방향으로 힘을 인가하는 단계를 포함할 수 있다. 방법은 제1 다이를 제2 다이와, 또는 제1 요소를 제2 요소와 직접 접합하는 단계를 포함할 수 있다. 방법은 또한 필름으로부터 제1 다이를 제거하는 단계를 포함할 수 있다.
본 명세서에 개시된 실시예는 임의의 적합한 타입의 요소를 전달하는 데 사용될 수 있다. 요소는 반도체 요소 또는 반도체 재료를 포함하지 않는 요소를 포함할 수 있다. 예를 들어, 요소들은 전기적 및/또는 비-전기적 기능들을 포함하는, 임의의 적합한 목적을 위해 지지 구조체의 표면에 부착될 수 있는 구성요소를 포함할 수 있다. 전기 회로들이 지지 구조체에 대한 부착 후에 요소 내에, 요소 위에, 또는 요소 주위에 제조될 수 있다. 개별화된 요소들은 일부 실시예에서 복수의 개별화된 집적 디바이스 다이들을 포함할 수 있다. 본 명세서에 개시된 방법은 복수의 개별화된 요소들로부터 제1 양품 판정 요소(known good element)(예컨대, 제1 양품 판정 다이(known good die))를 선택하는 단계를 추가로 포함할 수 있고, 제1 양품 판정 요소는 적절히 기능하는 비-전기적 특성들을 갖고, 선택된 제1 요소는 제1 양품 판정 요소를 포함한다.
또 다른 실시예에서, 반도체 처리 시스템(semiconductor processing system)이 개시된다. 시스템은 복수의 개별화된 집적 디바이스 다이들을 지지하는 필름의 표면 상의 복수의 개별화된 집적 디바이스 다이들 또는 요소들로부터 제1 다이를 선택하도록 구성되는 제어 시스템을 포함할 수 있다. 제어 시스템은 필름의 표면이 지지 구조체의 지지 표면을 향하도록 이동가능 장치(movable apparatus)가 필름을 지지 구조체에 인접하게 위치시키게 하도록 이동가능 장치에 명령들을 송신하도록 구성될 수 있다. 제어 시스템은 선택된 제1 다이가 지지 구조체의 제1 위치와 정렬되도록 이동가능 장치가 필름을 지지 구조체에 대해 측방향으로 선택적으로 위치시키게 하도록 이동가능 장치에 명령들을 송신하도록 구성될 수 있다. 제어 시스템은 선택된 제1 다이가 필름으로부터 지지 구조체로 전달되게 하도록 다이 이형 조립체(die release assembly)가 필름의 표면에 평행하지 않은 방향으로 힘을 지지 구조체 및 필름 중 적어도 하나에 인가하게 하도록 다이 이형 조립체에 명령들을 송신하도록 구성될 수 있다.
본 발명 및 종래 기술에 대해 달성되는 이점을 요약하는 목적을 위해, 본 발명의 소정 목적 및 이점이 본 명세서에 기술되었다. 물론, 반드시 모든 그러한 목적 또는 이점이 본 발명의 임의의 특정 실시예에 따라 달성될 수 있는 것은 아니라는 것이 이해되어야 한다. 따라서, 예를 들어, 당업자는 본 발명이, 반드시 본 명세서에 교시되거나 제안될 수 있는 바와 같은 다른 목적 또는 이점을 달성하지는 않고서, 본 명세서에 교시되거나 제안되는 바와 같은 하나의 이점 또는 이점들의 군을 달성하거나 최적화하는 방식으로 실시되거나 수행될 수 있는 것을 인식할 것이다.
이들 실시예 모두는 본 명세서에 개시된 본 발명의 범주 내에 있는 것으로 의도된다. 이들 및 다른 실시예는 첨부된 도면을 참조하는 바람직한 실시예의 하기의 상세한 설명으로부터 당업자에게 용이하게 명백해질 것이며, 본 발명은 개시된 임의의 특정 실시예(들)로 제한되지 않는다.
이들 태양 및 다른 태양이 본 발명을 제한하는 것이 아니라 예시하도록 의도되는 첨부 도면 및 바람직한 실시예의 하기의 설명으로부터 명백할 것이다.
도 1은 일 실시예에 따른, 패키징 지지 구조체 및 웨이퍼 마운트(wafer mount)의 개략적인 평면도.
도 2는 지지 구조체의 지지 표면 위에 수직으로 배치된 도 1의 웨이퍼 마운트의 평면도.
도 3은 일부 실시예에 따른, 웨이퍼 마운트 위에 배치된 다이 이형 조립체의 평면도.
도 4는 웨이퍼-레벨(wafer-level) 패키징 공정의 다양한 단계에 있는 지지 구조체의 평면도.
도 5는 다양한 실시예에 따른, 웨이퍼 마운트 위에 그리고 그것에 아주 근접하게 배치된 하나 이상의 유체 액추에이터(fluid actuator)를 포함하는 다이 이형 조립체의 개략적인 측면도.
도 6a는 일부 실시예에 따른, 웨이퍼 마운트 및 패키징 지지 표면 위에 배치된 다이 이형 조립체의 개략적인 측면도.
도 6b는 일부 실시예에 따른, 웨이퍼 마운트 및 패키징 지지 표면 위에 배치된 다이 이형 조립체의 개략적인 측면도.
도 6c는 유체 액추에이터를 따라 서로 인접하게 배열된 복수의 다각형 오리피스(orifice)를 포함하는 노즐(nozzle)을 가진 유체 액추에이터의 개략적인 평면도.
도 6d는 직사각형 또는 정사각형 오리피스의 2차원 어레이로 배열된 노즐을 가진 유체 액추에이터의 개략적인 평면도.
도 6e는 2차원 어레이로 배열된 둥근 노즐을 가진 유체 액추에이터의 개략적인 평면도.
도 6f는 다양한 실시예에 따른, 서로 인접하게 배열된 다각형 노즐을 가진 유체 액추에이터의 개략적인 평면도.
도 6g는 다양한 실시예에 따른, 2차원 어레이로 배열된 둥근 노즐을 가진 유체 액추에이터의 개략적인 평면도.
도 7은 일 실시예에 따른, 다이를 패키징 지지 구조체 상에 장착하기 위한 방법을 예시한 순서도.
도 8a는 기판, 기판 상에 침착된 비전도성 층, 및 비전도성 층 내에 형성된 복수의 전도성 접점을 포함하는 웨이퍼의 개략적인 측단면도.
도 8b는 비전도성 층 및 접점 위에서 기판에 부착된 핸들 웨이퍼(handle wafer)의 개략적인 측단면도.
도 8c는 원하는 두께로 박화된(thinned) 기판의 개략적인 측단면도.
도 8d는 다른 비전도성 층 및 다른 세트의 접점이 웨이퍼의 폴리싱된 후면(polished backside) 상에 형성된 웨이퍼의 개략적인 측단면도.
도 8e는 웨이퍼 마운트 상에 장착된 웨이퍼의 개략적인 측단면도.
도 8f는 복수의 집적 디바이스 다이로 다이싱된 후의 웨이퍼의 개략적인 측단면도.
도 8g는 임시 접착제가 다이의 후면으로부터 제거된 후의 구조체의 개략적인 측단면도.
도 8h는 접합 표면이 질소-함유 플라즈마(nitrogen-containing plasma)에 노출되는 집적 디바이스 다이의 개략적인 측단면도.
도 8i는 필름으로부터 직접 지지 구조체로 전달된 후의 집적 디바이스 다이의 개략적인 측단면도.
도 8j는 서로 적층되고(stacked) 직접 접합된 복수의 접합된 다이의 개략적인 측단면도.
도 8k는 다른 세트의 디바이스 다이에 대한 직접 접합을 위해 준비되는 접합된 다이의 노출된 활성 표면의 개략적인 측단면도.
도 8l은 접합된 다이의 스택(stack)에 직접 접합된 제3 세트의 다이의 개략적인 측단면도.
도 8m은 다양한 실시예에 따른, 필름으로부터 와플 팩으로 전달되는 다이의 개략적인 측단면도.
도 8n은 와플 팩 내에 배치된 상태에서 질소-함유 플라즈마에 노출되는 다이의 개략적인 측단면도.
도 8o는 다른 와플 팩 내로 뒤집힌 후의 다이의 개략적인 측단면도.
도 9는 일 실시예에 따른, 집적 디바이스 다이를 접합하기 위한 방법을 예시한 순서도.
도 10a는 한 쌍의 협동하는 콜릿(collet)을 사용하는 필름으로부터 지지 구조체로의 집적 디바이스 다이의 효율적인 전달을 위한 다양한 시스템 및 방법의 개략적인 측면도.
도 10b는 콜릿이 서로를 향해 이동되는, 도 10a의 시스템의 개략적인 측면도.
도 10c는 콜릿이 다이 및 필름과 맞물린, 도 10b의 시스템의 개략적인 측면도.
도 10d는 콜릿이 서로 멀어지게 이동된 후의 도 10c의 시스템의 개략적인 측면도.
도 10e는 다양한 실시예에 따른, 콜릿의 개략적인 측면도.
본 명세서에 개시된 다양한 실시예는 패키징을 위해 접착 필름 또는 테이프와 같은 필름으로부터 지지 구조체로의 요소(예컨대, 집적 디바이스 다이와 같은 반도체 요소)의 효율적인 전달에 관한 것이다. 전술된 바와 같이, 통상적인 시스템은 픽-오어-플레이스(pick-or-place) 기계를 사용하여 요소 또는 다이 또는 다른 반도체 요소를 로봇 아암 및/또는 릴-투-릴 테이프 시스템에 의해 중간 캐리어로 개별적으로 이동시킬 수 있다. 그러한 시스템은 비효율적이어서, 증가된 제조 비용으로 이어질 수 있다. 본 명세서에 개시된 실시예는 유리하게는 다이(또는 다른 타입의 요소 또는 반도체 요소)를 패키징을 위해 다이싱 테이프로부터 지지 구조체로 전달하는 효율을 개선한다. 또한, 본 명세서에 기술된 시스템 및 방법은 적절한 전기적 기능성을 확인하기 위해 시험된 다이인 양품 판정 다이(KGD)를 식별할 수 있다. 본 명세서에 개시된 시스템 및 방법은 유리하게는 선택된 다이를 2차원 인덱싱(indexing) 및 작동을 사용하여 2차원 지지 표면 상의 원하는 위치에 배치할 수 있다.
예를 들어, 다양한 실시예에서, 복수의 요소(예컨대, 집적 디바이스 다이)를 포함하는 웨이퍼가 다이싱 필름 상에서 다이싱되거나 개별화될 수 있고, 웨이퍼의 KGD(또는 다른 선택된 다이)가 2차원 지지 표면 상의 선택된 위치로 선택적으로 전달될 수 있다. 다양한 배열에서, 다이싱 필름은 다이를 지지할 때 신장되거나 인장 상태로 유지될 수 있다. 일부 실시예에서, KGD 또는 선택된 다이는 다이싱 필름으로부터, 패키지 기판(예컨대, 인쇄 회로 보드, 또는 PCB, 리드프레임, 세라믹 기판, 인터포저(interposer) 등), 다른 집적 디바이스 다이(예컨대, 적층 및 직접 접합에 의해), 패키징을 위한 웨이퍼의 재구성을 위한 접착 필름, 패널(panel) 또는 임의의 다른 적합한 플랫폼을 포함할 수 있는 패키징 플랫폼으로 직접 전달될 수 있다. 따라서, 유리하게는, 다양한 실시예에서, 다이를 다이싱 필름으로부터 후속 처리 스테이션 및/또는 패키징 플랫폼으로 수송하기 위한 중간 캐리어가 없을 수 있다. 대신에, 기판 또는 웨이퍼로부터의 선택된 개별화된 또는 다이싱된 집적 디바이스 다이 또는 요소(예컨대, KGD)가 개재하는 구조체 없이 다이싱 필름으로부터 최종 패키징 플랫폼 상에 직접 그리고 선택적으로 배치될 수 있는 한편, 선택되지 않은 다이 또는 요소는 다이싱 필름 상에 남겨질 수 있다. 여러 이점들 중에서 특히, 다이의 취급이 최소화되고, 표면이 접합 전에 준비된 표면을 보호하기 위한 보다 적은 단계를 갖고서 패키징 구조체(예컨대, 다이 스택) 내에서의 직접 접합을 위해 준비될 수 있다. 다른 실시예에서, 선택된 개별화된 또는 다이싱된 집적 디바이스 다이(예컨대, KGD)는, 개재하는 패키징 단계(예컨대, 팬-아웃 금속화(fan-out metallization)를 위해 웨이퍼를 재구성하기 위한 성형)를 위해 그리고/또는 선택된 다이를 패키징 플랫폼에 후속하여 장착하도록 채용될 수 있는 중간 캐리어(예컨대, 접착 시트(adhesive sheet) 또는 테이프) 상에 선택적으로 배치될 수 있다.
본 명세서에 개시된 실시예는 집적 디바이스 다이를 패키징 구조체로 효율적으로 전달하는 다양한 방식을 기술한다. 그러나, 본 명세서에 개시된 방법 및 시스템이 임의의 적합한 타입의 요소(예컨대, 집적 디바이스 다이를 포함하는 반도체 요소 등)를 패키징 구조체로 효율적으로 전달하기 위해 사용될 수 있는 것이 인식되어야 한다. 예를 들어, 본 명세서에 개시된 실시예는 집적 디바이스 다이, 인터포저(예컨대, 신호를 다른 요소로 그리고 그것으로부터 전송하기 위한 집적화된 전도성 트레이스(trace) 또는 비아(via)를 가진 반도체 요소), 재구성 다이(reconstituted die) 등과 같은 반도체 요소를 전달하기 위해 사용될 수 있다. 일부 실시예에서, 다른 타입의 요소(이는 반도체 재료를 포함할 수 있거나 포함하지 않을 수 있음)가 패키징 구조체로 전달될 수 있다. 예를 들어, 본 명세서에 개시된 실시예는 렌즈, 필터, 도파관 등과 같은 광학 디바이스를 전달할 수 있다. 또한, 본 명세서에 개시된 실시예에서, 요소(예컨대, 반도체 요소)는 직접 접합 공정의 대부분 또는 전부가 반도체 요소가 다이싱 필름 상에 장착된 상태에서 수행될 수 있도록, 다이싱 필름 상에 장착된 상태에서 직접 접합을 위해 처리될 수 있다. 다이싱 필름 상에서의 직접 접합을 위해 요소를 처리하는 것은 전체 접합 효율을 개선할 수 있는데, 왜냐하면 이에 의해 개별화와 직접 접합 사이에서의 다른 구조체로의 요소의 중간 전달이 회피될 수 있기 때문이다.
도 1은 지지 구조체 또는 표면(10) 및 웨이퍼 마운트(12)의 개략적인 평면도이다. 웨이퍼 마운트(12)는 다양한 반도체 처리 기술 중에 반도체 웨이퍼(18)를 지지하도록 구성되는 조립체를 포함할 수 있다. 예를 들어, 웨이퍼 마운트(12)는 개별화 또는 다이싱 작업 중에 웨이퍼(18)를 지지하도록 구성될 수 있다. 웨이퍼 마운트(12)는 프레임(frame)(14) 및 프레임(14)에 장착되는 필름(15)을 포함할 수 있다. 필름(15)은 접착 시트, 예컨대 테이프의 시트를 포함할 수 있다. 필름(15)은 필름(15)이 인장 상태에 있도록 필름(15)의 주연부 주위에서 프레임(14)에 고정될 수 있다. 프레임(14)이 도 1에 다각형 프레임으로 예시되지만, 프레임이 필름(15)을 지지하도록 구성되는 임의의 적합한 물리적 형태를 취할 수 있는 것이 인식되어야 한다.
웨이퍼(18)는 다수의 관련 집적 디바이스 다이(16)로 조직화되는 복수의 집적 디바이스로 패턴화되는 반도체 재료(예컨대, 규소 또는 임의의 다른 적합한 III-IV족 원소)를 포함할 수 있다. 예를 들어, 웨이퍼(18)는 집적 회로, 예컨대 프로세서 또는 메모리, 미세전자기계 시스템(microelectromechanical system, MEMS) 디바이스 다이 또는 당업자에게 알려진 임의의 다른 적합한 타입의 집적 디바이스를 형성하도록 패턴화될 수 있다. 또한, 일부 실시예에서, 각각의 집적 디바이스는, 본 명세서에서 양품 판정 다이(KGD)로 지칭되는, 어떤 디바이스 다이(16)가 전자적으로 기능하는지, 그리고 어떤 디바이스 다이(16)가 손상되거나 달리 기능 장애를 일으키는지 식별하기 위해, 그리고 KGD의 위치를 가리키는 맵(map)을 생성하기 위해, 개별화 또는 다이싱 전에 웨이퍼(18) 상에서 시험될 수 있다. 다른 실시예에서, 전기적 시험은 개별화 또는 다이싱 후에 수행될 수 있다. 다이(16)가 후속 지지 구조체(10)로 이동되기 전에 디바이스 다이(16)의 전기적 및/또는 전자적 특성을 시험하는 것은 유리하게는 기능 장애 또는 손상 다이를 위해 사용되는 지지 구조체(10) 상의 면적(real estate)의 양을 감소시킬 수 있다. 따라서, 본 명세서에 개시된 다양한 실시예에서, 단지 KGD만이 선택되고 지지 구조체(10) 상에 배치될 수 있으며, 이는 손상된 또는 기능 장애 다이의 처리 및 배치와 관련된 제조 비용을 감소시킬 수 있다.
웨이퍼(18)는 웨이퍼(18)가 필름(15)의 접착 표면에 접착되도록 개별화 또는 다이싱 전에 웨이퍼 마운트(12)에 장착될 수 있다. 일부 실시예에서, 웨이퍼의 후면이 필름(15)의 접착 표면에 장착될 수 있다. 웨이퍼(18)는 웨이퍼(18)를 복수의 별개의, 다이싱된 집적 디바이스 다이(16)로 분할하도록 적합한 다이싱 또는 개별화 기술을 사용하여 다이싱되거나 개별화될 수 있다. 예를 들어, 웨이퍼(18)는 개별 다이(16)를 생성하도록 소잉되거나(sawed) 달리 개별화될 수 있다. 다이싱 작업은 단지 웨이퍼(18)만이 다이싱되고 필름(15)은 다이(16)를 지지하기 위해 (다이싱 작업으로 인해 필름(15) 상에 소(saw) 또는 다른 마크(mark)가 있을 수 있지만) 계속해서 연결되어 유지되도록 수행될 수 있다. 이러한 온전한 필름(15)은 개별화된 다이(16)를 필름(15)의 접착 표면 상에 서로 인접하게 집합시켜 유지시키기 위해 사용될 수 있다. 필름(15) 및/또는 개별화된 다이(16)는 임의의 적합한 타입의 세정 방법을 사용하여 세정될 수 있다. 전술된 바와 같이, 도 1의 웨이퍼(18)가 집적 디바이스 다이(16) 또는 요소를 포함하지만, 다른 실시예에서는, 다른 타입의 반도체 요소(예컨대, 인터포저, 재구성 다이 등)가 웨이퍼(18) 상에 제공될 수 있다.
지지 구조체(10)는 웨이퍼 마운트(12)로부터 전달되는 다이싱된 집적 디바이스 다이(16)를 지지하도록 구성되는 임의의 적합한 구조체 또는 표면일 수 있다. 예를 들어, 예시된 실시예에서, 지지 구조체(10)는 이동가능 테이블과 같은 이동가능 장치에 결합되고 그것으로 형성되고 그리고/또는 그것 상에 장착되는 지지 표면(11)을 포함할 수 있다. 지지 표면(11)은 패키징 플랫폼, 예컨대 패키지 기판(예컨대, PCB, 플라스틱, 유리, 리드프레임, 세라믹 기판 등), 웨이퍼 또는 웨이퍼들의 스택, 인터포저, 재구성 웨이퍼(reconstituted wafer), 패널, 또는 재구성 패널, 또는 하나 이상의 다른 집적 디바이스 다이를 포함할 수 있다. 도 8a 내지 도 8l에 관하여 아래에서 더욱 상세히 논의되는 바와 같이, 다이가 그것으로 전달되는 지지 표면은 임의의 개재하는 접착제 없이 직접 접합을 위해 준비되는 다이 또는 웨이퍼 표면일 수 있다. 다른 실시예에서, 지지 표면(11) 및/또는 지지 구조체(10)는 집적 디바이스 다이(16)를 최종 패키징 플랫폼으로 수송하기 위해 사용될 수 있는, 접착 시트 또는 기계식 다이 캐리어와 같은 중간 캐리어를 포함할 수 있다. 일부 실시예에서, 지지 표면(11)은 다이(16) 및 다른 패키징 재료(예컨대, 성형 또는 봉지 재료)의 상대 위치가 지지 표면(11) 상에서 고정되도록, 재구성 웨이퍼가 그 상에 형성되는 접착제 층일 수 있다. 높은 다이 전달 속도가 바람직한 실시예에서, 지지 구조체(10)와 웨이퍼 마운트(12)는 서로에 대해 이동할 수 있다.
도 1에 도시된 바와 같이, 지지 구조체(10)와 지지 표면(11)은 2차원으로 이동가능할 수 있는데, 즉 +x, -x 및 +y, -y 방향으로 이동가능할 수 있다. 하나 이상의 프로세서 및 관련 메모리 디바이스를 포함하는 제어 시스템(도 5 내지 도 6b의 제어 시스템(100) 참조)이 2차원으로의 지지 구조체(10)의 이동을 정확하고 정밀하게 제어하도록 구성될 수 있다. 예를 들어, 제어 시스템은 지지 표면(11)의 선택된 위치를 웨이퍼 마운트(12) 상의 선택된 집적 디바이스 다이(16)와 정렬시키기 위해 지지 구조체(10)를 이동시키거나 인덱싱할 수 있는 모터 및 기어 시스템에 전기적으로 결합될 수 있다.
도 2는 지지 구조체(10)의 지지 표면(11) 위에 수직으로 배치된 도 1의 웨이퍼 마운트(12)의 평면도이다. 일부 실시예에서, 다이싱된 집적 디바이스 다이(16)를 가진 웨이퍼 마운트(12)는 로봇 아암 조립체와 같은 임의의 적합한 메커니즘을 사용하여 지지 표면(11) 위에 위치될 수 있다. 도 2에 도시된 것과 같은 일부 실시예에서는, 다이싱된 집적 디바이스 다이(16)를 가진 웨이퍼 마운트(12)가 정지되어 유지될 수 있고, 지지 구조체(10)가 2차원으로 이동가능할 수 있다. 다른 실시예에서는, 지지 구조체(10)가 정지되어 유지될 수 있고, 다이(16)를 가진 웨이퍼 마운트(12)가 2차원(즉, ―x, +x 및 ―y, +y 방향)으로 이동가능할 수 있다. 또 다른 실시예에서는, 지지 구조체(10) 및 다이싱된 다이(16)를 가진 웨이퍼 마운트(12) 둘 모두가 2차원으로 이동가능할 수 있다. 도 2의 실시예에서, 지지 표면(10) 및/또는 웨이퍼 마운트(12)는 다이싱된 집적 디바이스 다이(16)가 지지 구조체(10)의 지지 표면(11)에 아주 근접하게 배치되도록 서로에 대해 수직으로 이동될 수 있다. 예를 들어, 다이싱된 다이(16)는 10 마이크로미터 내지 1000 마이크로미터 범위 내의 거리만큼, 또는 보다 특정하게는, 10 마이크로미터 내지 100 마이크로미터 범위 내의 거리만큼 지지 표면(11)으로부터 수직으로 이격될 수 있다. 또한, 지지 구조체(10)는 지지 표면(11)의 원하는 위치를 선택된 집적 디바이스 다이(16)와 정렬시키기 위해 2차원으로 측방향으로 이동될 수 있다. 예를 들어, 지지 구조체(10)는 집적 디바이스 다이(16)의 선택된 KGD(웨이퍼-레벨 시험 후에 이전에 매핑됨)가 지지 표면(11)의 원하는 위치와 측방향으로(즉, xy 방향으로) 정렬되도록 이동될 수 있다.
도 3은 일부 실시예에 따른, 웨이퍼 마운트(12) 위에 배치된 다이 이형 조립체(20)의 평면도이다. 도 3에 도시된 바와 같이, 다이 이형 조립체(20)는 필름의 표면에 평행하게 2차원으로, 즉 xy 방향으로 이동가능할 수 있다. 다이 이형 조립체(20)는 복수의 다이싱된 다이(16)로부터의 선택된 다이 위로 이동될 수 있다. 도 5 내지 도 6g와 관련하여 상세히 후술되는 바와 같이, 다이 이형 조립체(20)는 선택된 다이가 필름(15)으로부터 지지 구조체(10)의 지지 표면(11)으로 직접 전달되게 하기 위해 필름(15)의 접착 표면에 평행하지 않은(예컨대, 그것에 수직한) 방향으로 힘을 인가하도록 구성되는 하나 이상의 액추에이터(도 3에 도시되지 않음)를 포함할 수 있다. 일부 실시예에서, 다이 이형 조립체(20)는 필름(15)으로부터 대응하는 디바이스 다이(16)를 이형시키기 위한 하나의 액추에이터를 포함할 수 있다. 그러한 배열에서, 지지 구조체(10)는 선택된 다이를 지지 표면(11) 상의 원하는 위치 바로 위에 위치시키도록 이동될 수 있다. 다이 이형 조립체(20)는 선택된 디바이스 다이 위에 위치되도록 측방향으로(예컨대, x 및/또는 y 방향으로 회전하여 그리고/또는 선형으로) 이동될 수 있다. 액추에이터는 대응하는 선택된 다이가 지지 표면(11)으로 직접 전달되게 하도록 활성화될 수 있다.
그러나, 다른 실시예에서, 다이 이형 조립체(20)는 다수의 대응하는 다이가 필름(15)으로부터 이형되고 지지 구조체(10)로 전달되게 하도록 구성되는 다수의 액추에이터의 어레이를 포함할 수 있다. 예를 들어, 일부 실시예에서, 다이 이형 조립체(20)는 일렬로 배열되는 N × 1개의 액추에이터의 선형 어레이를 포함할 수 있으며, 여기서 N은 임의의 적합한 양의 정수이다. 그러한 배열에서, 다이 이형 조립체(20)는 다이 마운트(12)로부터 이형될 하나 이상의 다이 위에 위치되도록 x 방향을 따라 이동될 수 있다. 다른 배열에서, 다이 이형 조립체(20)는 필름(15)의 일정 영역에 걸친 다수의 다이가 이형되게 하도록 배열되는 N × M개의 액추에이터의 2차원 어레이를 포함할 수 있다. 다수의 액추에이터를 갖는 다이 이형 조립체에서, 액추에이터가 함께 또는 개별적으로 활성화될 수 있는 것이 인식되어야 한다. 일부 배열에서, 조립체(20)의 모든 액추에이터가 예컨대 동시에 또는 순차적으로(개재하는 인덱싱된 동작(indexed motion)이 있거나 없이) 활성화될 수 있다. 다른 배열에서, 단지 조립체(20)의 선택된 액추에이터만이 동시에 활성화될 수 있다. 예를 들어, (이전의 웨이퍼-레벨 시험 및 매핑으로부터 결정된 바와 같은) KGD 위에 배치되는 조립체(20)의 액추에이터는 단지 KGD만이 지지 구조체(10)로 전달되도록 활성화될 수 있다.
일부 실시예에서, 다이 이형 조립체(20)와 유사한 트레일링 아암(trailing arm)(도시되지 않음)이 지지 구조체로 전달되는 KGD에 추가의 순간 압력을 공압식으로 인가할 수 있다. 그러한 추가의 순간 압력은 지지 표면(11)이 접착제 재료를 포함하는 실시예에 특히 바람직할 수 있다. 지지 표면(11)이 임의의 개재하는 접착제 없이 직접 접합을 위해 준비되는 다이 또는 웨이퍼 표면을 포함하는 실시예에 대해, 추가의 순간 압력은 생략될 수 있거나, 인가되는 경우 그러한 압력은 높을 필요가 없고(예컨대, 약 2 atm 이하), 이전의 직접 접합을 위한 표면의 준비를 고려할 때 대략 1밀리초 내지 1초 동안 인가될 수 있다. 추가의 공압식으로 인가되는 압력은 열(heat)(도시되지 않음)이 있거나 없이 다른 지지 챔버 내에서 지지 구조체(10) 상의 모든 다이에 동시에 인가될 수 있다.
도 4는 웨이퍼-레벨 패키징 공정의 다양한 단계에 있는 지지 구조체(10)의 평면도이다. 도 4의 실시예에서, 다수의 다이 A 내지 D가 지지 구조체(10)의 대응하는 패키지 영역(21) 내에 장착될 수 있다. 대응하는 패키지 영역(21)은 궁극적으로 집적 디바이스 패키지 내에 함께 패키징되는 구성요소와 관련될 수 있다. 유리하게는, 각각의 패키지의 구성요소는 제1 접착제(예컨대, 웨이퍼 다이싱 테이프)로부터 직접, 웨이퍼 또는 웨이퍼 스택을 포함할 수 있는 지지 구조체(10), 또는 접착 시트 또는 다이 캐리어와 같은 중간 캐리어 상에 조립될 수 있다. 도 4에 도시된 바와 같이, 다이 A는 다이 A가 장착되어야 하는 지지 표면(11) 상의 위치를 식별할 수 있는 맵 A와 관련될 수 있다. 제어 시스템(도 5 내지 도 6b의 제어 시스템(100) 참조)은 다이 A가 지지 표면(11)의 맵 A 상의 식별된 위치와 측방향으로 정렬되도록 이동가능 지지 구조체(10) 및/또는 웨이퍼 마운트(12)가 서로에 대해 측방향으로(예컨대, x 및/또는 y 방향으로 회전하여 그리고/또는 선형으로) 이동하도록 지시할 수 있다. 다이 이형 조립체(20)는 각각의 다이 A가 지지 표면(11) 상의 식별된 위치로 전달되게 하도록 활성화될 수 있다. 따라서, 도 4에 도시된 바와 같이, 각각의 다이 A가 패키지 영역(21)의 상부 좌측 코너에서 대응하는 패키지 영역(21)에 장착될 수 있다. 또한, 전술된 바와 같이, 이전의 웨이퍼-레벨 다이 시험 및 매핑은 각각의 다이 A가 양품 판정 다이 또는 KGD인지 확인할 수 있고, 따라서 단지 KGD만이 각각의 패키지 영역(21) 내에 배치되도록 한다.
다이 이형 조립체(20)는 추가의 다이를 지지 구조체(10) 상에 배치하기 위해 필름(15)의 표면에 평행하게 이동할 수 있다. 시스템은 각각의 다이 B를 맵 B에 의해 표시되는 바와 같은 지지 표면(11) 상의 관련 위치에(즉, 각각의 패키지 영역(21)의 상부 우측 코너에), 각각의 다이 C를 맵 C에 의해 표시되는 바와 같은 지지 표면(11) 상의 관련 위치에(즉, 각각의 패키지 영역(21)의 하부 좌측 코너에), 그리고 각각의 다이 D를 맵 D에 의해 표시되는 바와 같은 지지 표면(11) 상의 관련 위치에(즉, 각각의 패키지 영역(21)의 하부 우측 코너에) 배치할 수 있다. 따라서, 도 4에 도시된 바와 같이, 패키지와 관련된 각각의 다이 A 내지 D는 웨이퍼 레벨로 지지 표면(11)의 관련 패키지 영역(21)에 장착될 수 있다. 또한, 패키지 영역(21)에 장착된 각각의 다이 A 내지 D는 KGD일 수 있고, 따라서 패키지 수율을 개선하고 패키지 조립 공정을 가속시키며 폐기물을 감소시킬 수 있다. 지지 표면(11)을 웨이퍼 마운트(12)에 대해(또는 그 반대로) 2차원으로 이동시키기 위한 이동가능 지지 구조체(10)의 사용은 유리하게는 지지 구조체(10)의 원하는 패키징 위치에의 KGD의 정확한 배치를 가능하게 할 수 있다.
전술된 바와 같이, 지지 구조체(10)는 임의의 적합한 구조체를 포함할 수 있다. 예를 들어, 일부 실시예에서, 지지 구조체(10)는 패키징 플랫폼, 예컨대 패키지 기판(예컨대, PCB, 플라스틱, 유리, 세라믹, 리드 프레임, 인터포저 등)을 포함한다. 일부 실시예에서, 지지 구조체(10)는 웨이퍼 또는 웨이퍼 스택, 다이 또는 다이 스택, 또는 재구성 웨이퍼를 포함한다. 또 다른 실시예에서, 지지 구조체(10)는 추가의 패키징 단계(예컨대, 재구성 웨이퍼를 형성하기 위한 성형)가 취해질 수 있는, 접착 시트와 같은 중간 캐리어를 포함한다. 또한, 도 4의 실시예는 수개의 선택된 다이 A 내지 D가 대응하는 패키지 영역(21) 내에 나란히 장착되는 것을 예시하지만, 일부 실시예에서, 선택된 다이(또는 다이들 중 일부)는 도 8a 내지 도 8l의 예로부터 명백할 바와 같이 서로 겹쳐 적층될 수 있다. 개시된 실시예의 하나의 이점은 웨이퍼(18) 상에 매핑된 KGD 내에서 원하는 특성을 가진 비닝된(binned) 디바이스가 지지 구조체(10) 상의 선택된 위치에서 선택적으로 클러스터링될(clustered) 수 있다는 것이다. 보다 낮은 성능을 가진 KGD가 지지 구조체(10) 상의 다른 알려진 위치로 클러스터링되고 분리될 수 있다. 그러나, 그러한 클러스터링 프로토콜은 스풀(spool) 내에서 테이프 상에 장착되는 다이에 비해 구현하기에 더욱 시간 소모적이고 고가일 수 있다.
도 5는 웨이퍼 마운트(12) 위에 그리고 그것에 아주 근접하게 배치된 하나 이상의 유체 액추에이터(24)를 포함하는 다이 이형 조립체(20)의 개략적인 측면도이다. 다이 이형 조립체(20)는 선택된 다이(16A)가 필름(15)으로부터 직접 지지 표면(11)으로 전달되게 하기 위해 선택된 다이(16A)의 바로 반대편에 있는 필름(15)의 후면에 힘을 인가하도록 구성될 수 있다. 다양한 실시예에서, 개별화된 다이의 후면은 전달 작업 전에 다이(16A)와 다이싱 테이프(15) 사이의 접착력을 약화시키기 위해 자외선(UV)원과 같은 방사선원에 노출될 수 있다. 예를 들어, 도 5의 실시예에서, 유체 액추에이터(24)는 고속 유체를 필름(15)의 후면에 대해 지향시키는 하나 이상의 노즐을 포함할 수 있다. 고속 유체는 다이(16A)와 함께 필름(15)이 다이 이형 조립체(20)로부터 멀어지게 휘어지게 하여 다이(16A)가 지지 표면(11)과 접촉하게 할 수 있다. 고속 유체에 의해 인가되는 압력은 또한 다이(16A)가 필름(15)으로부터 이형되고 지지 표면(11)에 직접 전달되게 할 수 있다. 액추에이터(24)의 노즐(들)은 단지 선택된 다이만이 필름(15)으로부터 지지 구조체(10)로 전달되도록 필름(15)의 후면의 국소화된 영역에 힘을 인가하도록 크기설정되고 형상화될 수 있다. 일부 실시예에서, 유체 액추에이터(24)에 의해 공급되는 유체는 공기 또는 임의의 다른 적합한 기체, 예컨대 질소를 포함할 수 있다. 다른 실시예에서, 유체는 물과 같은 액체를 포함할 수 있다. 다양한 실시예에서, 유체는 지지 구조체(10)로의 다이(16A)의 전달 전에 또는 전달 중에 냉각되거나 가열될 수 있다. 예를 들어, 유체는 50℃보다 높은, 예컨대 50℃ 내지 150℃ 범위 내의 온도로 가열될 수 있다. 일부 실시예에서, 유체를 가열하는 것은 유리하게는 지지 표면(11)에 대한 선택된 다이(16A)의 접착력을 향상시킬 수 있고(예컨대, 다른 다이에 대한 직접 접합) 그리고/또는 필름(15)으로부터 다이(16A)를 박리하거나 제거하는 데 도움을 줄 수 있다.
지지 표면(11)은 이동가능 테이블 또는 지지부와 같은 임의의 적합한 타입의 이동가능 플랫폼 상에 배치될 수 있다(도 1 내지 도 3 및 수반되는 설명 참조). 도 5의 실시예에서, 지지 표면(11)은 지지 표면(11)을 xy 방향에 수직한 z 축을 중심으로 회전시키도록 구성되는 회전 척 조립체(rotating chuck assembly)를 포함하는 이동가능 지지부(22)에 의해 지지된다. 이동가능 지지부(22)는 지지 표면(11) 상의 원하는 위치(예컨대, 도 4에서 설명된 바와 같은 특정 패키지 영역(21) 내의 원하는 위치)를 선택된 다이(16A)와 정확하게 정렬시키기 위해 이동될(즉, 회전될) 수 있다. 다이(16A)가 지지 표면(11) 상의 원하는 위치와 정렬될 때, 유체 액추에이터(24)는 다이(16A)가 필름(15)으로부터 지지 표면(11)으로 전달되게 하도록 활성화될 수 있다. 다이 이형 조립체(20)가 유체 액추에이터(24)를 사용하여 다이가 필름(15)으로부터 이형되고 지지 표면(11)으로 전달되게 하지만, 도 8i와 관련하여 후술되는 것과 같은 다른 실시예에서, 다이 이형 조립체(20)는 필름에 평행하지 않은 방향으로 힘을 인가할 수 있는 플런저(plunger) 또는 다른 타입의 기계식 디바이스를 포함할 수 있다. 자기 디바이스, 음파 디바이스 또는 방사선 디바이스와 같은 다른 비-기계식 또는 비-접촉 접근법이 필름에 평행하지 않은 방향으로 힘을 인가하여 다이(16A)를 지지 구조체(10)로 전달하기 위해 사용될 수 있다. 예를 들어, 일 실시예에서, 레이저원과 같은 방사선 디바이스가 필름에 평행하지 않은 방향으로 필름(15)으로부터 다이(16A)를 분리시키기 위해 사용될 수 있다. 또한, 방사선원으로부터의 열이 지지 구조체(10)의 표면에 대한 다이(16A)의 부착 및 접착력을 개선할 수 있다.
전술된 바와 같이, 제어 시스템(100)은 이동가능 지지부(22)(및 그에 따라 지지 구조체(10) 및 지지 표면(11))의 작동 및/또는 다이 이형 조립체(20)의 작동을 제어하도록 프로그래밍될 수 있다. 예를 들어, 제어 시스템(100)은 실행될 때, 이동가능 지지부(22)가 원하는 배향으로 회전하게 하는 모터(또는 다른 디바이스)에 명령을 송신하는 소프트웨어로 프로그래밍되는 하나 이상의 프로세서 및 메모리 디바이스를 포함할 수 있다. 또한, 제어 시스템(100)은 웨이퍼(18)(도 3 참조)의 어떤 다이가 KGD인지에 관한 정보를 메모리 내에 저장할 수 있고, 또한 지지 표면(11) 상의 다이의 목표 위치에 관한 정보(예컨대, 도 4에 도시된 웨이퍼-레벨 패키지 맵을 포함함)를 저장할 수 있다. 적어도 부분적으로 이러한 정보에 기초하여, 제어 시스템(100)은 이동가능 지지부(22)가 웨이퍼(18)(도 3 참조) 상의 각각의 다이, 또는 적어도 각각의 KGD 또는 비닝된 다이에 대해 원하는 배향으로 이동하도록 지시할 수 있다. 제어 시스템(100)은 또한 다이 이형 조립체(20)가 전달될 다이 위에 위치되게 하도록 모터 또는 다른 디바이스에 명령을 송신하도록 프로그래밍될 수 있다. 제어 시스템(100)은 다이 이형 조립체(20)가 다이가 지지 구조체(10)로 전달되게 하기에 적합한 힘을 필름(15)의 후면에 대해 인가하도록 지시할 수 있다. 제어 시스템(100)은 다이 이형 조립체(20)가 비닝된 다이가 클러스터링된 구성으로 지지 구조체(10)로 전달되게 하기에 적합한 힘을 필름(15)의 후면에 대해 인가하도록 지시할 수 있고, 따라서 바람직한 보다 높은 성능 속성(예를 들어, 보다 낮은 전력, 높은 주파수)을 가진 다이가 유익한 공정 결과가 예상되는 지지 층의 부분들로 분리될 수 있게 한다.
도 6a는 일부 실시예에 따른, 웨이퍼 마운트(12) 및 지지 표면(11) 위에 배치된 다이 이형 조립체(20)의 개략적인 측면도이다. 달리 언급되지 않는 한, 도 6a에 사용되는 도면 부호는 도 1 내지 도 5에 예시된 구성요소와 유사하거나 동일한 구성요소를 나타낸다. 예를 들어, 도 6a에 도시된 바와 같이, 집적 디바이스 다이(16)를 가진 웨이퍼 마운트(12)가 지지 표면(11)에 대해 아주 근접하게 배치될 수 있다. 전술된 바와 같이, 지지 표면(11)은 선택된 다이(16A)가 지지 표면(11) 상의 원하는 위치(이는 패키지 영역(21) 내의 원하는 위치에 대응할 수 있음)와 측방향으로 정렬되도록 수직으로(z 방향으로) 그리고 측방향으로(예컨대, x 및/또는 y 방향으로 회전하여 그리고/또는 선형으로) 정렬될 수 있다. 도 6a의 제어 시스템(100)은 도 5에 예시된 제어 시스템(100)의 방식과 유사한 방식으로 작동할 수 있다.
도 6a의 실시예에서, 다이 이형 조립체(20)는 복수의 노즐을 갖는 유체 액추에이터(24)를 포함한다. 도 6c 내지 도 6e는 노즐(26)이 도 6a의 실시예와 함께 사용될 수 있는 노즐 패턴으로 배열된 유체 액추에이터(24)의 개략적인 평면도이다. 도 6a에 관하여, 다이 이형 조립체(20)의 유체 액추에이터(24)는 고속 유체(예컨대, 공기, 질소 등과 같은 기체)를 필름(15)의 후면에 대해 분사하도록 활성화될 수 있다. 유체 액추에이터(24)의 노즐(26)은 선택된 다이(16A)의 에지(edge)(23)가 다이(16A)의 다른 영역보다 먼저 지지 표면(11)과 접촉하게 하기 위해 비대칭으로 크기설정되고 형상화될 수 있다. 예를 들어, 도 6c에 도시된 바와 같이, 노즐(26)은 유체 액추에이터(24)를 따라 서로 인접하게 배열되는 복수의 다각형(예컨대, 직사각형) 오리피스를 포함할 수 있다. 노즐(26)은 제2 단부(23b)에서보다 제1 단부(23a) 부근에서 더 넓을 수 있다. 도 6c에서, 노즐(26)은 인접한 직사각형 오리피스의 단일 열로 배열된다. 도 6d에서, 노즐(26)은 제2 단부(23b)보다 제1 단부(23a) 부근에서 더 넓지만, 노즐(26)은 노즐(26)의 폭 또는 주 치수가 제1 단부(23a)로부터 제2 단부(23b)까지 감소하는 직사각형 또는 정사각형 오리피스의 2차원 어레이로 배열된다. 도 6e에서, 노즐(26)은 유사하게 제1 단부(23a) 부근에서 더 넓지만, 노즐(26)은 노즐(26)의 폭 또는 주 치수가 제1 단부(23a)로부터 제2 단부(23b)까지 감소하는 둥근 오리피스(예컨대, 원형 또는 타원형)의 2차원 어레이로 배열된다.
도 6c 내지 도 6e의 노즐(26)이 도 6a에 도시된 배열로 작동될 때, 제1 단부(23a) 부근의 더 넓은 노즐은 필름(15)에 대한 유체 스트림을 생성하여 필름(15)이 지지 표면(11)을 향해 이동하게 할 수 있다. 제1 단부(23a)에 더 가까운 노즐(26)의 오리피스가 제2 단부(23b)에서보다 더 넓기 때문에, 다이(16A)의 다른 영역에 비해 더 많은 유량의 유체가 다이(16A)의 에지(23)에 대해 분사된다. 다이(16A)의 에지(23)에서의 더 많은 유량의 유체(및 그에 따라 더 큰 인가되는 힘)는 다이(16A)의 에지(23)가 다이(16A)의 다른 영역보다 먼저 지지 표면(11)과 접촉하게 할 수 있다. 다이(16A)가 필름(15)으로부터 박리되고 지지 표면(11)으로 전달되게 하기에 충분한 유체 압력이 인가될 수 있다.
도 6b는 일부 실시예에 따른, 웨이퍼 마운트(12) 및 지지 표면(11) 위에 배치된 다이 이형 조립체(20)의 개략적인 측면도이다. 달리 언급되지 않는 한, 도 6b에 사용되는 도면 부호는 도 1 내지 도 6a에 예시된 구성요소와 유사하거나 동일한 구성요소를 나타낸다. 예를 들어, 도 6b에 도시된 바와 같이, 집적 디바이스 다이(16)를 가진 웨이퍼 마운트(12)가 지지 표면(11)에 대해 아주 근접하게 배치될 수 있다. 전술된 바와 같이, 지지 표면(11)은 선택된 다이(16A)가 지지 표면(11) 상의 원하는 위치(이는 패키지 영역(21) 또는 중간 캐리어 표면 내의 원하는 위치에 대응할 수 있음)와 측방향으로 정렬되도록 수직으로(z 방향으로) 그리고 측방향으로(매핑된 xy 위치로) 정렬될 수 있다. 도 6b의 제어 시스템(100)은 도 5 및 도 6a에 예시된 제어 시스템(100)의 방식과 유사한 방식으로 작동할 수 있다.
도 6a의 실시예와 마찬가지로, 도 6b의 다이 이형 조립체(20)는 복수의 노즐을 갖는 유체 액추에이터(24)를 포함한다. 도 6f 및 도 6g는 노즐(26)이 도 6b의 실시예와 함께 사용될 수 있는 노즐 패턴으로 배열된 유체 액추에이터(24)의 개략적인 평면도이다. 도 6b의 유체 액추에이터(24)의 노즐(26)은 선택된 다이(16A)의 중심 영역(25)이 다이(16A)의 에지(23)와 같은, 다이(16A)의 다른 영역보다 먼저 지지 표면(11)과 접촉하게 하도록 크기설정되고 형상화될 수 있다. 도 6b에 도시된 바와 같이, 그러한 배열에서, 다이(16A)의 중심 영역(25)은 다이(16A)의 에지(23)보다 먼저 지지 표면(11)과 접촉하도록 굽혀지거나 휘어질 수 있다. 도 6f를 참조하면, 유체 액추에이터(24)는 직사각형 형상의 오리피스를 가진 복수의 노즐(26)을 포함할 수 있다. 도 6f에서, 오리피스는 액추에이터(24)의 중심 영역에서 가장 넓고 단부 영역에서 더 좁을 수 있다. 유사하게, 도 6g에서, 노즐(26)은 더 큰 오리피스가 중심 영역 부근에 배열되고 더 작은 오리피스가 액추에이터(24)의 단부 부근에 배열되는 2차원 어레이로 배열되는 둥근(예컨대, 원형 또는 타원형) 오리피스를 포함할 수 있다.
도 6b의 액추에이터(24)가 활성화될 때, 액추에이터(24)의 중심 부근의 노즐(26)은 액추에이터(24)의 에지 부근의 노즐(26)보다 더 많은 유량(및 그에 따라 더 큰 힘)을 공급할 수 있다. 액추에이터(24)의 중심에서의 증가된 유량은 중심 영역(25)이 지지 표면(11)을 향해 구부러지거나 휘어지게 하기에 충분한 힘을 다이(16A)의 중심 영역(25)에 인가할 수 있다. 중심 영역(25)은 다이(16A)의 다른 영역보다 먼저 지지 표면(11)과 접촉할 수 있다. 선택된 다이(16A)는 필름(15)으로부터 제거되고 지지 표면(11)으로 직접 전달될 수 있다.
도 7은 일 실시예에 따른, 다이를 지지 구조체 상에 장착하기 위한 방법(30)을 예시한 순서도이다. 방법(30)은 복수의 다이싱된 요소(예컨대, 다이싱된 집적 디바이스 다이와 같은 반도체 요소)를 지지하는 필름이 제공되는 블록(32)에서 시작된다. 도 1과 관련하여 전술된 바와 같이, 웨이퍼가 웨이퍼 마운트의 필름에 장착될 수 있다. 웨이퍼는 소잉과 같은 임의의 적합한 방법을 사용하여 다이싱되거나 분리될 수 있는 복수의 집적 디바이스를 갖도록 이전에 처리되었다. 다이싱 후에, 필름(예컨대, 테이프)은 다이가 필름의 접착 표면을 따라 서로 인접하게 유지되도록 다이(또는 다른 요소 또는 반도체 요소)를 유지시킬 수 있다. 일부 실시예에서, 디바이스 다이는 어떤 다이가 전자적으로 기능하는지, 즉 어떤 다이가 양품 판정 다이 또는 KGD인지 결정하고 매핑하기 위해 다이싱 전에 시험될 수 있다. 일부 실시예에서, 각각의 집적 디바이스 다이는 그 상에 형성되는 복수의 접촉 패드(contact pad)를 포함할 수 있다. 도 7의 처리 단계 중에, 일부 실시예에서, 접촉 패드는 부동태화 필름(passivation film)으로 덮이거나 노출될 수 있지만; 패드에는 외부 접촉 범프(external contact bump)(예컨대, 솔더 볼(solder ball))가 없을 수 있다.
블록(34)을 참조하면, 다이싱된 집적 디바이스(또는 다른 타입의 다이싱된 요소 또는 반도체 요소)를 가진 필름이 이러한 필름의 접착 표면이 지지 구조체의 지지 표면을 향하도록 지지 구조체에 인접하게 위치된다. 전술된 바와 같이, 지지 구조체는, 예컨대 패키징 플랫폼(예컨대, 패키지 기판, 인터포저, 하나 이상의 디바이스 다이, 하나 이상의 웨이퍼) 또는 중간 캐리어(예컨대, 접착 시트)를 포함하는 임의의 적합한 타입의 표면을 포함할 수 있다. 블록(36)에서, 선택된 제1 다이(또는 다른 타입의 요소 또는 반도체 요소)가 지지 구조체의 제1 위치와 정렬되도록 필름이 지지 구조체에 대해 측방향으로 선택적으로 위치될 수 있다. 전술된 바와 같이, 지지 구조체 및/또는 웨이퍼 마운트는 2차원으로 이동하도록 인덱싱될 수 있다. 제어 시스템이 선택된 다이(예컨대, KGD)가 지지 표면의 대응하는 패키지 영역과 같은, 지지 표면 상의 선택된 위치와 정렬되도록 지지 구조체를 필름에 대해 위치시키도록 프로그래밍될 수 있다.
블록(38)으로 이동하면, 필름의 접착 표면에 평행하지 않은 방향으로 힘이 인가되어 선택된 다이(또는 다른 타입의 요소 또는 반도체 요소)가 필름으로부터 지지 구조체로 직접 전달되게 할 수 있다. 예를 들어, 본 명세서에서 설명된 바와 같이, 다이 이형 조립체가 선택된 다이 위로 이동될 수 있고, 액추에이터가 다이가 필름으로부터 이형되고 지지 구조체로 전달되게 하도록 활성화될 수 있다. 일부 실시예에서, 액추에이터는 고속 유체(예컨대, 공기 또는 질소와 같은 기체, 또는 액체)를 필름의 후면에 대해 분사하여 다이가 지지 구조체로 전달되게 하도록 구성되는 하나 이상의 노즐을 갖는 유체 액추에이터를 포함할 수 있다. 노즐은 임의의 적합한 패턴으로 배열될 수 있다. 예를 들어, 일부 실시예에서, 노즐은 다이의 에지가 다이의 다른 영역보다 먼저 지지 표면과 접촉하게 하도록 배열될 수 있다. 다른 실시예에서, 노즐은 다이의 중심 영역이 구부러지고 다이의 다른 영역보다 먼저 지지 표면과 접촉하게 하도록 배열될 수 있다. 또 다른 실시예에서, 액추에이터는 필름에 평행하지 않은 힘을 인가하도록 구성되는 플런저 또는 다른 기계식 액추에이터를 포함할 수 있다.
유리하게는, 도 1 내지 도 7에 관하여 본 명세서에 개시된 실시예는 다이 또는 다른 요소를 다이싱 필름으로부터, 중간 캐리어 또는 최종 패키징 플랫폼일 수 있는 지지 구조체로 직접 효율적으로 전달하기 위해 사용될 수 있다. 또한, 도 1 내지 도 7에 개시된 실시예는 패키지 어셈블러(package assembler)가 단지 KGD만을 패키지에 이용할 수 있게 할 수 있으며, 이는 패키지 수율을 개선하고 기능 장애 디바이스 다이의 사용과 관련된 비용을 감소시킬 수 있다. 또한, 지지 구조체 상에의 선택된 다이의 2차원 선택적 배치는 KGD가 표면에 정확하게 그리고 직접 장착되는 정확하고 효율적인 웨이퍼-레벨 패키징의 사용을 가능하게 할 수 있으며, 여기서 다이는 다른 다이 또는 다이 스택, 웨이퍼 또는 웨이퍼 스택, 패키지 기판, 지지 구조체 상으로의 전달 후에 형성될 봉지 또는 성형 재료 등과 같은, 패키지의 다른 구성요소와 고정된 관계를 갖는다.
다이가 지지 표면의 대응하는 패키지 영역 상에 조립될 때, 지지 표면은 다이의 부분들 위에 그리고/또는 인접한 다이들 사이의 갭(gap) 내에 적용되는 충전 재료 또는 봉지재에 의해 성형될 수 있다. 일부 배열에서, 다이의 후면이 박화될 수 있다. 지지 표면(이는 웨이퍼 또는 기판 재료의 웨브, 예컨대 PCB 또는 리드 프레임을 포함할 수 있음)은 후속하여 개별화되어 복수의 개별화된 디바이스 패키지를 생성할 수 있다.
도 8a 내지 도 8l은 일부 실시예에 따른, 집적 디바이스 다이를 접합하기 위한 방법의 다양한 단계의 개략적인 측단면도이다. 도 1 내지 도 7에 관하여 위에 개시된 유체 액추에이터 및 상대 동작 실시예가 또한 도 8a 내지 도 8l에 도시된 실시예에 적용될 수 있는 것이 인식되어야 한다. 예를 들어, 도 7의 순서도는 도 8a 내지 도 8l에 또한 적용되는 제조 방법의 단계를 예시한다. 또한, 도 8a 내지 도 8l이 집적 디바이스 다이의 직접 접합을 예시하지만, 방법이 대안적으로 인터포저, 재구성 다이 등과 같은 다른 타입의 반도체 요소를 직접 접합하기 위해 사용될 수 있는 것이 인식되어야 한다. 도 8a는 기판(40), 기판(40) 상에 침착된 비전도성 층(42), 및 비전도성 층(42) 내에 형성된 복수의 전도성 접점(44)을 포함하는 웨이퍼(18)를 예시한다. 기판(40)은 규소 또는 임의의 다른 적합한 반도체 재료, 유리, 세라믹, 또는 중합체 층 또는 패널을 포함할 수 있다. 비전도성 층(42)은 예를 들어 무기 또는 유기 유전체 재료, 예컨대 이산화규소, 탄화규소, 다이아몬드-유사 탄소, 중합체 층, 복합 재료 또는 이들 재료의 다양한 조합 등과 같은 적합한 비전도성 재료를 포함할 수 있다. 또한, 도체(44)의 부분들이 다마신(damascene) 및 비-다마신 금속화 방법에 의해 형성될 수 있다. 전도성 접점(44)은 접점(44)이 비전도성 층(42) 내에 형성되는 트렌치(trench) 내부에 충전되는 다마신 공정에 의해 형성될 수 있고, 비전도성 층(42)의 표면과 동일 평면 상에 있거나 그것 위로 약간 돌출되거나(예컨대, 2 내지 20 nm) 그것 아래로 약간 함몰될 수 있다(예컨대, 2 내지 20 nm). 접점(44)은 구리, 금 등과 같은 임의의 적합한 도체를 포함할 수 있다. 접점(44) 및 비전도성 층(42)의 표면은 다른 웨이퍼 또는 다른 구조체와의 직접 접합을 위해 준비될 수 있다. 예를 들어, 접점(44) 및/또는 비전도성 층(42)의 표면은 접합 표면이 극히 매끄러운 것을 보장하기 위해 (예컨대, 화학-기계적 폴리싱 기술을 사용하여) 폴리싱될 수 있다. 접점(44) 및 비전도성 층(42)의 표면 준비에 관한 추가의 상세 사항은 각각의 전체 내용이 전체적으로 그리고 모든 목적을 위해 본 명세서에 참고로 포함되는 미국 특허 제6,902,987호; 제6,566,694호; 제7,109,092호; 제6,962,835호; 및 제8,389,378호 전반에 걸쳐 확인될 수 있다.
도 8b를 참조하면, 핸들 웨이퍼(48)가 접착제(46)에 의해 비전도성 층(42) 및 접점(44) 위에서 기판(40)에 부착될 수 있다. 핸들 웨이퍼(48)는 웨이퍼(18)를 이동시키거나 달리 조작하기 위한 핸들로서의 역할을 하기에 충분히 두꺼운 규소 또는 다른 반도체 재료를 포함할 수 있다. 도 8c에서, 기판(40)의 후면이 웨이퍼(18)로부터 궁극적으로 형성되는 집적 디바이스 다이에 적합한 원하는 두께로 박화될 수 있다. 예를 들어, 기판(40)은 10 마이크로미터 내지 200 마이크로미터 범위 내의, 10 마이크로미터 내지 100 마이크로미터 범위 내의, 20 마이크로미터 내지 75 마이크로미터 범위 내의, 25 마이크로미터 내지 50 마이크로미터 범위 내의 두께, 또는 임의의 다른 적합한 두께로 박화될 수 있다. 박화된 웨이퍼(18)의 후면은 웨이퍼(18)의 전면과 유사한 방식으로 폴리싱되거나 달리 평탄화될(planarized) 수 있다. 도 8d에서, 다른 비전도성 층(52) 및 다른 세트의 접점(54)이 접점(44) 및 비전도성 층(42)과 유사한 방식으로 웨이퍼(18)의 폴리싱된 후면 상에 형성될 수 있다. 제2 세트의 점점(54)은 예를 들어 규소-관통 비아(through-silicon via, TSV) 및/또는 백-엔드-오브-라인(back-end-of-line, BEOL) 금속화에 의해 기판(40) 내의 집적 회로와 연통할 수 있다. 비전도성 층(52) 및 접점(54)이 또한 전술된 바와 같이 접합을 위해 폴리싱되고 준비될 수 있다.
도 8e에서, 웨이퍼(18)가 도 1 내지 도 7과 관련하여 전술된 웨이퍼 마운트(12)와 유사한 웨이퍼 마운트(12) 상에 장착될 수 있다. 예를 들어, 웨이퍼 마운트(12)는 프레임(14) 및 프레임(14)에 의해 지지되는 필름(15)을 포함할 수 있다. 웨이퍼(18)는 필름(15)의 접착 표면(55)에 접착될 수 있다. 일부 실시예에서, 웨이퍼(18)는 웨이퍼(18)의 활성 표면을 필름(15)으로부터 보호하기 위해 보호 중합체로 코팅될 수 있다. 다른 실시예에서, 보호 코팅이 사용되지 않을 수 있고, 필름(15)으로부터의 임의의 잔류물이 후속하여 세정될 수 있다. 핸들 웨이퍼(48)는 접착제(46)로부터 제거될 수 있다.
도 8f를 참조하면, 웨이퍼(18)는 복수의 집적 디바이스 다이(16)로 다이싱될 수 있다. 예를 들어, 웨이퍼(18)는 다이싱된 집적 디바이스 다이(16)를 형성하도록 소잉, 펀칭, 또는 달리 개별화될 수 있다. 도 1 내지 도 7의 실시예와 마찬가지로, 디바이스 다이(16)는 집적 회로, 예컨대 메모리 또는 프로세서, MEMS 다이 등과 같은 임의의 적합한 타입의 다이를 포함할 수 있다. 도 8f에 도시된 바와 같이, 다이싱 작업은 다이(16)를 완전히 다이싱하거나 개별화시키지만, 다이싱 소(dicing saw)가 필름(15) 상에 스코어링(scoring) 또는 마킹(marking)을 남길 수 있더라도, 필름(15)을 온전한 그리고 연속적인 상태로 남길 수 있다. 이러한 온전한 필름(15)은 다이싱된 다이(16)를 지지하고 필름(15) 상에서의 그들의 상대 위치를 유지시킬 수 있다. 도 8g에서, 접착제(46)는 임의의 적합한 방법에 의해, 예컨대 전자기 방사선(예컨대, 자외선 방사선) 및/또는 용제에 대한 노출에 의해 제거될 수 있다.
도 8h에서, 집적 디바이스 다이(16)의 접합 표면(56)이 평탄화(예컨대, 화학 기계적 폴리싱에 의해 폴리싱됨), 활성화(activated)(예컨대, 매우 약간 에칭됨) 및/또는 적합한 화학종(species)으로 종단처리될(terminated) 수 있다. 예를 들어, 도 8h에 도시된 바와 같이, 접합 표면(56)(이는 산화규소를 포함할 수 있음)은 플라즈마 챔버 내에서 질소-함유 플라즈마에 노출될 수 있다. 예를 들어, 플라즈마 공정은 일부 실시예에서 반응성 이온 에칭 공정을 포함할 수 있다. 매우 약간의 에칭은 0.5 nm 미만의, 예컨대 0.1 nm 내지 3 nm 범위 내의 제곱-평균-제곱근(root-mean-square) 미세-조도(micro-roughness)를 생성할 수 있다. 유익하게는, 본 명세서에 개시된 공정(예컨대, 폴리싱, 활성화, 및/또는 종단처리)은 다이(16)가 필름(15)에 장착된 상태에서 수행될 수 있으며, 이는 직접 접합 공정의 효율을 개선할 수 있다. 예를 들어, 본 명세서에 개시된 공정은 필름(15)이 열화 또는 용융 없이 직접 접합 공정을 수용할 수 있도록 충분히 낮은 온도일 수 있는 비교적 낮은 온도(예컨대, 50℃ 내지 100℃ 범위 내)에서 그리고/또는 충분히 적은 처리 시간(예컨대, 10분 미만, 또는 6분 미만) 동안 수행될 수 있다. 다른 실시예에서, 접합 표면(56)은 질소-함유 용액, 예컨대 암모니아계 용액에 노출될 수 있다. 접합 표면(56)을 질소-함유 화학종으로 종단처리하는 것은 유리하게는 다른 반도체 요소에 대한 다이(16)의 직접 접합을 향상시킬 수 있다. 활성화 및 종단처리 공정의 추가의 상세 사항은 그 전체 내용이 전체적으로 그리고 모든 목적을 위해 본 명세서에 참고로 포함되는 미국 특허 제6,902,987호 전반에 걸쳐 개시된다.
도 8i를 참조하면, 도 8f 내지 도 8h에 도시된 집적 디바이스 다이(16)가 필름(15)으로부터 직접 지지 구조체(10)로 전달될 수 있다. 도 8i의 예시된 실시예에서, 다이(16)를 위한 지지 구조체(10)는 제2 필름(15B)을 지지하는 제2 프레임(14B)을 포함하는 제2 웨이퍼 마운트(12B) 상에 장착되는 제2 세트의 다이(16B)를 포함한다. 그러나, 도 1 내지 도 7의 실시예와 마찬가지로, 다른 실시예에서, 지지 구조체(10)는 예컨대 패키징 플랫폼, 예컨대 패키지 기판(예컨대, PCB, 유리, 플라스틱, 리드프레임, 세라믹 기판, 규소 인터포저 등), 웨이퍼 또는 웨이퍼들의 스택, 재구성 웨이퍼, 패널, 또는 재구성 패널 등을 포함하는 임의의 적합한 타입의 구조체를 포함할 수 있다. 다른 실시예에서, 지지 구조체(10)는 추가의 패키징 단계(예컨대, 웨이퍼 재구성을 위한 성형)를 위해 또는 집적 디바이스 다이(16)를 최종 패키징 플랫폼으로 수송하기 위해 사용될 수 있는, 접착 시트 또는 기계식 다이 캐리어와 같은 중간 캐리어를 포함할 수 있다.
제1 필름(15) 및 다이(16)는 제1 플랫폼(62)에 장착될 수 있다. 도 8i에 도시된 바와 같이, 제1 필름(15) 및 다이(16)는 제2 다이(16B)와의 직접 접합을 준비하기 위해 전도될 수 있다. 제2 다이(16B)가 그에 접착되는 제2 필름(15B)은 제2 플랫폼(64)에 장착될 수 있다. 제1 및 제2 플랫폼(62, 64)은 각각의 웨이퍼 마운트(12, 12B)를 지지하기 위한 임의의 적합한 구조체를 포함할 수 있다. 예시된 실시예에서, 예를 들어, 제1 및 제2 플랫폼(62, 64)은 각각의 필름(15, 15B)에 음압(negative pressure)을 인가하여 필름(15, 15B)을 각각의 플랫폼(62, 64)에 고정시키는 진공 척(vacuum chuck)을 포함할 수 있다. 도 8i에서, 도 8f 내지 도 8h로부터의 다이(16)가 전도된 구성으로, 즉 제2 세트의 다이(16B) 위에 배치되는 것으로 예시된다. 그러나, 다른 배열에서, 도 8f 내지 도 8h로부터의 다이(16)가 대신에 제2 플랫폼(64) 상에 배치될 수 있고, 다이(16B)가 제1 플랫폼(62) 상에 배치될 수 있는 것이 인식되어야 한다. 또한, 도 8a 내지 도 8h와 관련하여 전술된 처리 단계가 다이(16)에 관하여 기술되지만, 평탄화, 활성화 및 종단처리와 같은 동일한 처리 단계가 또한 직접 접합을 준비하기 위해 제2 세트의 다이(16B)의 표면에 적용될 수 있다.
도 1 내지 도 7의 실시예와 마찬가지로, 제어 시스템(100)은 접합될 각각의 다이(16, 16B)에 관한 정보를 저장할 수 있다. 예를 들어, 제어 시스템(100)은 제1 필름 상의 어떤 다이(16)가 KGD인지 결정할 수 있다. 제어 시스템(100)은 또한 제1 세트로부터의 어떤 개별 다이(16)가 제2 세트로부터의 어떤 개별 다이(16B)에 접합될지 식별하도록 구성될 수 있다. 제어 시스템(100)은 선택된 다이(16)를 제2 세트로부터의 대응하는 선택된 다이(16B)와 측방향으로 정렬시키기 위해 모터 또는 다른 적합한 장치가 제1 플랫폼(62)을 제2 플랫폼(64)에 대해(또는 그 반대로) 이동시키도록 지시할 수 있다. 예를 들어, 전술된 바와 같이, 제1 플랫폼(62)은 선택된 다이(16)를 측방향으로 대응하는 다이(16B)와 정렬시키도록 2차원으로(즉, xy 차원으로, 예컨대, x 및/또는 y 방향으로 회전하여 그리고/또는 선형으로) 측방향으로 이동될 수 있다.
일단 다이(16, 16B)가 대체로 정렬되면, 다이 이형 조립체(24)는, 제어 시스템(100)의 제어 하에서, 힘을 필름(15)의 후면에 대해 인가하여 다이(16)가 필름(15)으로부터, 대응하는 제2 다이(16B)의 접합 표면(56B)을 또한 포함할 수 있는 지지 표면(11)으로 전달되게 할 수 있다. 예를 들어, 도 8i에 도시된 바와 같이, 다이 이형 조립체(24)는 접촉력을 필름(15)의 후면에 대해 인가하도록 z 방향을 따라 구동되는 플런저(60)를 포함할 수 있다.
일부 실시예에서, 플런저(60)는 초기 하향력(이는 압력 감지를 포함할 수 있음)을 -z 방향으로 필름(15)에 대해 인가하여 하나의 다이(16)가 다른 다이(16) 아래에 배치되게 할 수 있다. 이러한 플런저 배열은 플런저(60)에 의해 인가되는 힘 및/또는 변위의 양을 정확하게 제어하기 위해 피드백 제어 시스템을 가진 변위 및/또는 압력 센서를 포함할 수 있다. 정렬 시스템이 제2 다이(16B)에 대한 다이(16)의 x, y, 및/또는 z 방향으로의 오정렬의 정도를 추정하도록 (제어 시스템(100) 및/또는 사용자에 의해) 활성화될 수 있다. 이러한 정렬 시스템은 오정렬의 정도에 관한 피드백을 제공하기 위해 제어 시스템(100)과 통신할 수 있다. 정렬 시스템은 일부 배열에서 광학 측정 시스템을 포함할 수 있다. 예를 들어, 정렬 시스템은 일부 실시예에서 하나 이상의 카메라를 포함할 수 있다. 다른 실시예에서, 하나 이상의 레이저를 포함하는 간섭측정(interferometric) 정렬 시스템이 사용될 수 있다. 제어 시스템(100)은 제1 플랫폼(62)이 선택된 다이(16)를 제2 다이(16B)에 대해 2차원으로 이동 정렬시키게 하도록 명령을 반복적으로 송신할 수 있다.
z-방향을 따른 플런저(60)(및/또는 제2 플랫폼(64))의 정밀한 이동은 제1 다이의 접합 표면(56)이 제2 다이(16B)의 대응하는 접합 표면(56B)과 접촉하고 직접 접합되게 할 수 있다. 다이들(16, 16B) 사이의 직접 접합은 각각의 다이(16, 16B)의 비전도성 층(42) 및 접점(44)이 개재하는 접착제 없이 서로 접합되는 화학(예컨대, 공유) 결합을 포함할 수 있다. 직접 접합 공정은 일부 실시예에서 실온에서 수행될 수 있다. 접합 전의 다이의 높은 정도의 평활도(smoothness)는 직접 접합의 강도를 개선할 수 있다. 예를 들어, 접합 전에, 다이의 접합 표면은 0.5 내지 1.5 nm 범위 내의 표면 조도(RMS)를 가진 평탄화된 표면을 가질 수 있다. 다양한 실시예에서, 접합후 어닐링(post-bonding anneal)이 접합을 추가로 향상시키기 위해 (100℃ 내지 400℃ 범위 내의 온도에서) 수행될 수 있다. 다양한 실시예에서, 직접 접합은 적어도 400 mJ/m2(예컨대, 적어도 2000 mJ/m2)의 접합 강도를 가질 수 있다. 직접 접합 공정의 추가의 상세 사항은 각각의 전체 내용이 전체적으로 그리고 모든 목적을 위해 본 명세서에 참고로 포함되는 미국 특허 제6,902,987호; 제6,566,694호; 제7,109,092호; 제6,962,835호; 및 제8,389,378호에서 확인될 수 있다. 일부 실시예에서, 플런저(60)는 유체가 그것을 통해 공급될 수 있는 하나 이상의 내부 채널을 포함할 수 있다. 유체는 다이(16)의 전달 중에 접합 공정을 향상시킬 수 있는 가열된 또는 냉각된 유체를 포함할 수 있다.
일단 선택된 다이(16, 16B)가 직접 접합되면, 플런저(60)는 +z 방향을 따라 후퇴될 수 있다. 다이들(16, 16B) 사이의 접합력은 다이(16)와 필름(15) 사이의 접착력보다 클 수 있으며, 따라서 플런저(60)의 후퇴가 다이(16)를 필름(15)으로부터 이형시킬 수 있다. 일부 배열에서, 다이(16)와 필름(15) 사이의 접착력은 필름(15)의 후면을, 예를 들어 UV 광 또는 레이저와 같은 방사선원에 노출시킴으로써 감소되었을 수 있다. 일단 선택된 다이(16)가 이형되면, 제어 시스템(100)은, 제1 웨이퍼 마운트(12) 상의 각각의 다이(16)(또는 각각의 KGD)가 제2 웨이퍼 마운트(12B) 상의 관련 다이(16B)(이는 역시 KGD일 수 있음)로 전달되고 그것에 접합될 때까지, 플런저(60) 및 제1 플랫폼(62)이 접합될 다이의 다른 쌍으로 이동하도록 지시할 수 있다. 도 8j는 서로 적층되고 직접 접합된 복수의 접합된 다이(16C)를 예시한다. 접합된 다이(16C)는 제2 필름(15B) 및/또는 제2 플랫폼(64)(도 8j에 도시되지 않음)에 부착되어 유지될 수 있다. 각각의 다이는 예컨대 열압착 접합(thermocompression bonding)보다 훨씬 더 짧은 기간 내에 필름(15)으로부터 이형되도록 허용하기에 충분하게 접합될 수 있다. 이러한 접합은 비-전도성 대 비-전도성(예컨대, 산화물) 표면 화학 결합을 포함할 수 있다. 후속 가열이 비-전도성 표면 접합을 향상시킬 수 있고, 또한 다이(16, 16B)의 정렬된 접점(44)의 전도성 표면의 접합을 향상시키거나 유발할 수 있다. 또한, 본 명세서에서 설명된 기술은 통상적인 픽-앤드-플레이스 로봇에 의해 취급하기 어려울 수 있는 것과 같은 박화된 다이(16)의 직접 접합을 용이하게 한다.
도 8k를 참조하면, 접합된 다이(16C)의 노출된 활성 표면은 일부 실시예에서 다른 세트의 디바이스 다이에 대한 직접 접합을 위해 준비될 수 있는 접합 표면(56C)을 포함할 수 있다. 예를 들어, 도 8h와 마찬가지로, 다이(16C)가 필름(15) 상에 장착된 상태에서 접합 표면(56C)이 (예컨대, 매우 약간의 에칭에 의해) 활성화되고/되거나 적합한 화학종으로 종단처리될 수 있다. 활성화 및 종단처리는 순차적으로 또는 단일 공정으로 수행될 수 있다. 예를 들어, 도 8k에 도시된 바와 같이, 접합 표면(56C)은 플라즈마 챔버 내에서 질소-함유 플라즈마에 노출될 수 있다. 다른 실시예에서, 접합 표면(56)은 전술된 바와 같이, 질소-함유 용액, 예컨대 암모니아계 용액에 노출될 수 있다. 도 8l은 다이(16C)에 직접 접합된 제3 세트의 다이(16D)를 예시한다. 접합된 다이(16C)에 대한 제3 다이(16D)의 접합은 도 8i에 관하여 전술된 바와 같이 수행될 수 있다. 이러한 공정은 원하는 개수의 다이가 서로 적층되고 직접 접합될 때까지 계속될 수 있다. 위와 같이, 직접 접합이 완료될 때, 적층된 다이가 임의의 적합한 방식으로 패키징될 수 있다. 예를 들어, 다이는 인접한 다이들 사이의 갭을 충전하는 성형 또는 충전 재료에 의해 적어도 부분적으로 봉지될 수 있다. 봉지된 다이는 개별화되고 PCB, 리드프레임, 세라믹 기판 등과 같은 패키지 기판에 장착될 수 있다. 다른 실시예에서, 접합 공정 중에, 제2 필름(15B) 대신에, 다이 스택이 이미 패키징 기판 또는 대형 공정 다이 상에 장착될 수 있다.
따라서, 예시된 실시예에서, 반도체 요소(예컨대, 디바이스 다이(16))가 직접 접합 공정을 위해 필름(15)에 장착될 수 있다. 예를 들어, 본 명세서에 도시된 바와 같이, 다이(16)는 필름(15) 상에서 다이싱되고 필름(15) 상에서 폴리싱되며 필름(15) 상에서 활성화되고/되거나 종단처리될 수 있다. 다이(16)를 필름(15) 상에서 처리함으로써, 직접 접합 기술의 효율 및 효과가 개선될 수 있다.
도 8m 내지 도 8o는 도 8a 내지 도 8l에 예시된 공정의 다양한 단계와 함께 또는 그에 대한 대안으로서 사용될 수 있는 대안적인 실시예를 예시한다. 예를 들어, 도 8m에 도시된 바와 같이, 일부 실시예에서, 다이(16)는 필름(15)으로부터, 내부에 형성된 복수의 리세스(recess)(106)를 갖는 와플 팩(105)으로 전달될 수 있다. 도 8m에 도시된 바와 같이, 다이(16)는 전도되어, 접합 표면(56)이 상향으로 향하는 상태로 대응하는 리세스(106) 내에 배치될 수 있다. 도 8n을 참조하면, 폴리싱, 종단처리, 및/또는 활성화와 같은 다양한 처리 단계가 와플 팩(105) 내의 다이(16)에 수행될 수 있다. 도 8n에 도시된 바와 같이, 예를 들어, 접합 표면(56)이 직접 접합을 위해 활성화되고 또한 종단처리되도록 질소 플라즈마에 노출될 수 있다. 유익하게는, 와플 팩(105)은 필름(15)과 함께 사용되는 공정보다 긴 기간 동안 보다 높은 온도의 처리를 받을 수 있는 재료로 제조될 수 있다. 예를 들어, 다이(16)는 와플 팩(105) 내에 위치될 때 300℃ 내지 400℃로 가열될 수 있다. 도 8o를 참조하면, 처리된 다이(16)가 와플 팩(105)과 상이할 수 있는 와플 팩(105A)의 대응하는 리세스 내에 (예컨대, 픽 앤드 플레이스 기계, 또는 다른 시스템을 통해) 위치될 수 있다. 예를 들어, 도 8m 및 도 8n의 와플 팩(105)은 다이(16)를 와플 팩(105A)으로 전달하기 위해 뒤집힐 수 있다. 도 8o의 다이(16)는 전술된 바와 같이 추가의 다이 또는 다른 반도체 요소의 적층을 위해 처리될 수 있다.
도 9는 일 실시예에 따른, 요소(예컨대, 집적 디바이스 다이와 같은 반도체 요소)를 접합하기 위한 방법(90)을 예시한 순서도이다. 블록(92)에서, 복수의 다이싱된 반도체 요소(예컨대, 다이싱된 집적 디바이스 다이)를 지지하는 필름이 제공된다. 도 7과 마찬가지로, 반도체 요소는 프로세서 다이, MEMS 다이, 메모리 다이 등과 같은 임의의 적합한 타입의 디바이스 다이를 포함할 수 있거나, 인터포저, 재구성 다이, 또는 임의의 다른 적합한 타입의 반도체 요소를 포함할 수 있다. 다른 실시예에서, 요소는 반도체 재료를 포함할 수 있거나 포함하지 않을 수 있는 요소를 포함하는 다른 타입의 디바이스 또는 기판을 포함할 수 있다. 다이싱된 반도체 요소는 필름의 제1 표면을 따라 서로 인접하게 배치될 수 있다. 반도체 요소가 디바이스 다이를 포함하는 실시예에서, 다이는 KGD를 식별하기 위해 다이싱 전에 전기적 및/또는 전자적 기능성에 대해 시험될 수 있다. 반도체 요소는 소잉, 펀칭 등과 같은 임의의 적합한 기술을 사용하여 다이싱될 수 있다.
블록(93)에서, 제2 복수의 다이싱된 반도체 요소(예컨대, 집적 디바이스 다이)를 지지하는 지지 구조체가 제공된다. 제2 복수의 반도체 요소(예컨대, 다이싱된 다이)는 지지 구조체의 제2 표면을 따라 서로 인접하게 배치될 수 있다. 제2 복수의 반도체 요소는 프로세서 다이, MEMS 다이, 메모리 다이 등과 같은 임의의 적합한 타입의 디바이스 다이를 포함할 수 있거나, 인터포저, 재구성 다이, 또는 다른 타입의 반도체 요소를 포함할 수 있다. 반도체 요소가 디바이스 다이를 포함하는 실시예에서, 제2 복수의 다이는 KGD를 식별하기 위해 다이싱 전에 전기적 및/또는 전자적 기능성에 대해 시험될 수 있다. 반도체 요소는 소잉, 펀칭 등과 같은 임의의 적합한 기술을 사용하여 다이싱될 수 있다.
블록(94)에서, 제1 복수의 반도체 요소로부터의 선택된 제1 반도체 요소(이는 KGD일 수 있음)가 제2 복수의 다이싱된 반도체 요소로부터의 제2 반도체 요소(이는 역시 KGD일 수 있음)와 정렬되고 그것을 향하도록 필름이 지지 구조체에 인접하게 위치될 수 있다. 필름은 제1 및 제2 반도체 요소를 정렬시키기 위해 2차원으로 측방향으로 선택적으로 위치될 수 있다. 다양한 타입의 정렬 시스템(예컨대, 광학 정렬 시스템)이 2개의 반도체 요소들 사이의 오정렬의 정도를 측정하기 위해 사용될 수 있다.
블록(95)으로 이동하면, 제1 또는 제2 표면에 평행하지 않은 방향으로 힘이 인가되어 제1 반도체 요소가 제2 반도체 요소와 접촉하게 할 수 있다. 일부 실시예에서, 힘은 필름의 후면과 접촉하는 플런저에 의해 인가될 수 있다. 다른 실시예에서, 힘은 하나 이상의 노즐을 통과하는 고속 유체에 의해 인가될 수 있다. 이러한 인가된 힘은 제1 반도체 요소가 제2 반도체 요소로 전달되게 할 수 있다.
블록(96)을 참조하면, 제1 반도체 요소가 제2 반도체 요소와 직접 접합될 수 있다. 예를 들어, 전술된 바와 같이, 제1 및 제2 디바이스 다이의 각각의 접합 표면이 접합을 위해 준비될 수 있다. 접합 표면은 본 명세서에서 설명된 바와 같이 폴리싱되고 활성화되며 원하는 화학종으로 종단처리될 수 있다. 접합 표면이 (예컨대, 실온에서) 접촉될 때, 개재하는 접착제 없이 2개의 반도체 요소들 사이에 공유 결합이 형성된다. 블록(98)에서, 제1 반도체 요소가 필름으로부터 제거될 수 있다. 예를 들어, 플런저가 후퇴될 수 있으며, 이는 2개의 다이들 사이의 보다 강한 화학 결합으로 인해 필름이 제1 반도체 요소로부터 박리되게 할 수 있다.
적층되고 접합된 반도체 요소(예컨대, 적층되고 접합된 다이)는 보다 큰 전자 디바이스 또는 시스템 내에의 후속 통합을 위해 임의의 적합한 방식으로 패키징될 수 있다. 예를 들어, 봉지재 또는 성형 재료가 반도체 요소의 표면의 적어도 일부 위에 그리고/또는 인접한 반도체 요소들 사이의 갭 내에 적용될 수 있다. 반도체 요소는 개별화되고 패키지 기판에 장착될 수 있다.
도 10a 내지 도 10e는 패키징을 위해 접착 필름 또는 테이프와 같은 필름(15)으로부터 지지 구조체(10)로의 반도체 요소(예컨대, 집적 디바이스 다이)의 효율적인 전달을 위한 시스템 및 방법의 또 다른 실시예를 예시한다. 달리 언급되지 않는 한, 도 10a 내지 도 10e의 도면 부호는 도 1 내지 도 8l의 동일-도면 부호의 구성요소와 동일하거나 대체로 유사한 구성요소를 지칭한다. 또한, 도 10a 내지 도 10e와 관련하여 기술되는 특징부는 도 1 내지 도 9의 실시예와 관련하여 기술되고 예시된 특징부들 중 임의의 것과 조합하여 사용될 수 있다. 도 10a 내지 도 10e의 실시예에서, 다이 이형 조립체(24)는 함께 접합될 각각의 필름(15, 15B) 상의 2개의 다이(16, 16B)를 유지시키거나 지지하도록 협동하는 한 쌍의 콜릿(110A, 110B)을 포함한다. 일부 실시예에서, 콜릿(110A, 110B)은 지지 구조체(10) 상에 배치될 단일 다이를 유지시키거나 지지하도록 협동할 수 있다.
도 10a를 참조하면, 하나 이상의 다이(16)(이는 웨이퍼-레벨 시험에서 식별될 수 있는 KGD 및 잠재적으로 또한 불량 다이(bad die)를 포함할 수 있음)가 필름(15) 상에 지지될 수 있고, 하나 이상의 다이(16B)(이는 역시 KGD 및 잠재적으로 또한 불량 다이를 포함할 수 있음)가 필름(15B) 상에 지지될 수 있다. 필름(15, 15B)은 다이(16)의 접합 표면(56)이 다이(16B)의 대응하는 접합 표면(56B)(및 지지 표면(11))을 향하도록 서로에 대해 배향될 수 있다. 도 10b에서, 다이 이형 조립체(24)가 제1 콜릿(110A)과 제2 콜릿(110B)에 각각의 힘(F1, F2)을 인가하도록 활성화되어 콜릿(110A, 110B)이 z-축을 따라 서로를 향해 이동하게 할 수 있다. 일부 실시예에서, 하나의 콜릿은 정지되어 유지될 수 있는 한편, 다른 하나의 콜릿은 이동된다. 도 10b에 예시되지 않지만, 콜릿(110A, 110B)은 본 명세서에 개시된 제어 시스템(100)과 동일하거나 대체로 유사할 수 있는 제어 시스템에 의해 제어될 수 있다. 도 10b에 도시된 바와 같이, 콜릿(110A, 110B)이 서로를 향해 이동함에 따라, 콜릿(110A, 110B)은 다이(16, 16B)의 주연부 주위에서 필름(15, 15B)을 관통할 수 있고, 따라서 각각의 콜릿(110A, 110B)의 일부분이 다이(16, 16B)의 주연부 주위에 배치되어 다이(16, 16B)를 지지하게 한다.
콜릿(110A, 110B)은 다이(16, 16B)를 서로에 대한 접합을 위해 그리고/또는 지지 구조체(10) 상에의 배치를 위해 유지시키고 지지하는 임의의 적합한 메커니즘을 포함할 수 있다. 도 10e는 일부 실시예에 따른, 예시적인 콜릿(110A, 110B)의 개략적인 측면도이다. y-z 평면 내에서 제시되는 도 10e에서, 제1 콜릿(110A)은 하나 이상의 대응하는 갭(114A)에 의해 이격되는 복수의 핑거(finger)(112A)를 포함할 수 있다. 제2 콜릿(110B)은 유사하게 하나 이상의 대응하는 갭(114B)에 의해 이격되는 복수의 핑거(112B)를 포함할 수 있다. 도 10e에 도시된 바와 같이, 콜릿은, 콜릿(110A, 110B)이 z-축을 따라 결합될 때, 제1 콜릿의 핑거(112A)가 제2 콜릿의 갭(114B) 내에 수용되고 제2 콜릿의 핑거(112B)가 제1 콜릿의 갭(114A) 내에 수용되도록, 제1 콜릿(110A)의 핑거(112A)가 제2 콜릿(110B)의 핑거(112B)에 대해 y-축을 따라 엇갈리게 배치될 수 있도록 서로에 대해 배향된다. 단지 콜릿의 하나의 측면만이 도 10e에 도시되지만, 직사각형 다이를 둘러싸기 위해 콜릿의 모든 4개의 측면에서 핑거가 유사하게 엇갈리게 배치될 수 있는 것이 이해될 것이다. 핑거(112A, 112B)를 서로에 대해 엇갈리게 배치하는 것은 유리하게는 콜릿(110A, 110B)이 다이(16, 16B)를 유지시키고/시키거나 지지하기 위해 정합할 수 있게 할 수 있다. 또한, 콜릿(110A, 110B)이 각각의 힘(F1, F2)의 인가에 의해 결합될 때, 핑거(112A, 112B)는, 여전히 필름(15, 15B)을 천공부를 제외하고는 연속적인 그리고 온전한 상태로 남겨두면서, 핑거(112A, 112B)가 다이(16, 16B)의 주연부 주위에 배치되도록 필름(15, 15B)을 관통할 수 있다.
도 10c를 참조하면, 2개의 다이(16, 16B)가 예를 들어 본 명세서에서 설명된 처리 기술을 사용한 직접 접합에 의해 함께 접촉하고 접합될 때까지, 콜릿(110A, 110B)이 힘(F1, F2)의 인가에 의해 추가로 결합될 수 있다. 예시된 실시예에서, 제2 콜릿(110B)은 정지되어 유지될 수 있거나 단지 약간만 이동할 수 있고, 제1 콜릿(110A)은 ―z 축을 따라 이동할 수 있으며, 이는 필름(15)이 필름(15)의 변형가능한 부분(116)에서 신장되거나 굽혀지게 할 수 있다. 직접 접합 후에, 접합부(113)가 2개의 다이들(16, 16B) 사이의 계면에 형성될 수 있다.
도 10d에서, 2개의 콜릿(110A, 110B)이 콜릿(110A, 110B)에 대한 각각의 힘(F3, F4)의 인가에 의해 접합 후에 서로 멀어지게 이동될 수 있다. 일부 실시예에서, 하나의 콜릿(예컨대, 콜릿(110B))은 정지되어 유지될 수 있고, 다른 하나의 콜릿(예컨대, 콜릿(110A))은 z-축을 따라 멀어지게 이동할 수 있다. 콜릿(110A, 110B)이 서로 분리될 때, 하나의 필름(15)이 다이(16)로부터 이형될 수 있는 한편, 다른 하나의 다이(16B)는 다른 하나의 필름(15B)에 부착되어 유지된다. 예를 들어, 직접 접합 후에, 직접 접합의 강도는, 콜릿(110A, 110B)이 분리될 때, 다이(16)가 필름(15)으로부터 탈착되도록 다이(16)와 필름(15) 사이의 접착 강도를 초과할 수 있다. 일부 배열에서, 전술된 바와 같이, 이형전 처리(pre-release treatment)(예컨대, UV 방사선에 대한 노출)가 이형될 필름(15)에 적용될 수 있다.
유리하게는, 도 10a 내지 도 10e에서의 콜릿(110A, 110B)의 사용은 접합 공정 전에 그리고 접합 공정 중에 다이(16, 16B)를 유지시키고 지지하기 위한 신뢰성 있는 메커니즘을 제공할 수 있다. 또한, 콜릿(110A, 110B)은 핑거의 기계가공 및 위치가, 가능하게는 다이의 하나의 에지에 대한 저렴한 광학적 정렬의 도움으로, 접합을 위한 다이의 충분한 기계적 정렬을 제공할 수 있기 때문에, 접합 전에 2개의 다이를 정확하게 정렬시키기 위한 간단한 정렬 특징부를 제공할 수 있다. 일부 다른 배열에서, 더욱 복잡한 광학 센서(예컨대, 카메라)가 접합 전에 다이(16, 16B)가 정렬되는 것을 보장하기 위해 다이(16, 16B) 상의 마커(marker)를 정렬시키는 데 사용될 수 있다. 콜릿(110A, 110B)은 그러한 더욱 복잡한 광학 센서보다 간단한 해법을 제공할 수 있는데, 왜냐하면 콜릿(110A, 110B)이 다이(16, 16B)의 주연부 주위에 꼭 맞게 그리고 접합을 위해 다이(16, 16B)를 정렬시키도록 서로 정합하게 정확히 기계가공될 수 있기 때문이다.
본 발명이 소정 실시예 및 예와 관련하여 개시되었지만, 본 발명은 구체적으로 개시된 실시예를 넘어 다른 대안적인 실시예 및/또는 본 발명의 사용과 그의 명백한 변경 및 등가물로 확장되는 것이 당업자에 의해 이해될 것이다. 또한, 본 발명의 수개의 변형이 상세히 도시되고 기술되었지만, 본 발명의 범주 내에 있는 다른 변경이 본 개시 내용에 기초하여 당업자에게 용이하게 명백할 것이다. 또한, 실시예의 특정 특징 및 태양의 다양한 조합 또는 하위-조합이 안출되고 여전히 본 발명의 범주 내에 속할 수 있다. 개시된 실시예의 다양한 특징 및 태양이 개시된 발명의 다양한 형태를 형성하기 위해 서로 조합되거나 대체될 수 있는 것이 이해되어야 한다. 따라서, 본 명세서에 개시된 본 발명의 범주는 전술된 특정한 개시된 실시예로 제한되어야 하는 것이 아니라, 하기의 청구범위의 완전한 이해에 의해서만 결정되어야 하는 것으로 의도된다.

Claims (63)

  1. 지지 구조체(support structure) 상에 요소들을 장착하기 위한 방법으로서,
    복수의 개별화된 요소들(singulated elements)을 형성하기 위해 기판이 개별화된 후에 상기 복수의 개별화된 요소들을 수용하는 단계;
    필름을 제공하는 단계;
    상기 필름에 의해 지지될 상기 복수의 개별화된 요소들을 배치하는 단계 - 상기 복수의 개별화된 요소들은 상기 필름의 표면을 따라 서로 인접하게 배치됨 -;
    상기 필름의 표면이 상기 지지 구조체의 지지 표면을 향하도록 상기 필름을 상기 지지 구조체에 인접하게 위치시키는 단계 - 상기 지지 구조체는 패키징 구조체를 포함함 -;
    선택된 제1 요소가 상기 지지 구조체의 제1 위치와 정렬되도록 상기 필름을 상기 지지 구조체에 대해 측방향으로 선택적으로 위치시키는 단계; 및
    상기 선택된 제1 요소가 상기 필름으로부터 상기 패키징 구조체로 직접 전달되게 하여서 상기 제1 요소가 상기 필름으로부터 제거되도록 상기 필름의 상기 표면에 평행하지 않은 방향으로 힘을 인가하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 복수의 개별화된 요소들을 형성하도록 상기 필름 상의 기판을 개별화하는 단계를 추가로 포함하는, 방법.
  3. 제1항에 있어서,
    상기 복수의 개별화된 요소들은 복수의 개별화된 집적 디바이스 다이들(integrated device dies)을 포함하고,
    상기 방법은 상기 복수의 개별화된 집적 디바이스 다이들로부터 제1 양품 판정 다이(known good die)를 선택하는 단계를 추가로 포함하고, 상기 제1 양품 판정 다이는 적절히 기능하는 전기적 특성들을 갖고, 선택된 제1 다이는 상기 제1 양품 판정 다이를 포함하는, 방법.
  4. 제1항에 있어서,
    상기 선택된 제1 요소를 상기 제1 위치와 정렬시키도록 상기 필름 또는 상기 지지 구조체를 상기 필름의 상기 표면에 평행한 측방향으로 조절하는 단계를 추가로 포함하는, 방법.
  5. 제1항에 있어서,
    상기 필름은, 상기 복수의 개별화된 요소들의 후면에 부착되고 프레임(frame)에 의해 지지되는 접착 시트를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 복수의 개별화된 요소들로부터 제2 요소를 선택하는 단계;
    상기 제2 요소가 상기 지지 구조체 상의 선택된 위치와 정렬되도록 상기 지지 구조체를 상기 필름에 대해 측방향으로 재위치시키는 단계; 및
    상기 선택된 제2 요소가 상기 필름으로부터 상기 패키징 구조체로 직접 전달되게 하도록 상기 필름의 상기 표면에 평행하지 않은 방향으로 제2 힘을 상기 지지 구조체 및 상기 필름 중 적어도 하나에 인가하는 단계
    를 추가로 포함하는, 방법.
  7. 제1항에 있어서,
    상기 개별화된 요소들 중 적어도 일부를 다른 대응하는 복수의 요소들 상으로 적층(stacking)하는 단계를 추가로 포함하는, 방법.
  8. 제1항에 있어서,
    상기 지지 구조체 상의 인접한 요소들 사이의 갭들(gaps)을 충전 재료로 충전하는 단계를 추가로 포함하는, 방법.
  9. 제1항에 있어서,
    상기 선택된 제1 요소의 전면을 상기 제1 요소가 상기 필름 상에 있는 상태에서 폴리싱(polishing)하는 단계,
    상기 선택된 제1 요소의 상기 전면을 상기 제1 요소가 상기 필름 상에 있는 상태에서 화학종(species)으로 종단처리(terminating)하는 단계, 및
    상기 선택된 제1 요소의 상기 전면을 상기 제1 요소가 상기 필름 상에 있는 상태에서 화학종으로 활성화(activating)시키는 단계를 추가로 포함하는, 방법.
  10. 제9항에 있어서,
    상기 선택된 제1 요소를 개재하는 접착제 없이 다른 요소에 직접 접합하는 단계를 추가로 포함하는, 방법.
  11. 요소들을 접합하기 위한 방법으로서,
    제1 복수의 개별화된 요소들을 지지하는 필름을 제공하는 단계 - 상기 제1 복수의 개별화된 요소들은 상기 필름의 제1 표면을 따라 서로 인접하게 배치됨 -;
    제2 복수의 요소들을 지지하는 지지 구조체를 제공하는 단계 - 상기 제2 복수의 요소들은 상기 지지 구조체의 제2 표면을 따라 서로 인접하게 배치됨 -;
    상기 제1 복수의 개별화된 요소들로부터 선택된 제1 요소가 제2 복수의 개별화된 요소들로부터의 제2 요소와 정렬되고 상기 제2 요소를 향하도록 상기 필름을 상기 지지 구조체에 인접하게 위치시키는 단계;
    상기 제1 요소가 상기 제2 요소와 접촉하게 하도록 상기 필름의 상기 제1 표면에 평행하지 않은 방향으로 힘을 인가하는 단계;
    상기 제1 요소를 상기 제2 요소와 직접 접합하는 단계; 및
    상기 필름으로부터 상기 제1 요소를 제거하는 단계
    를 포함하는, 방법.
  12. 제11항에 있어서,
    제1 복수의 다이싱된 요소들(diced elements)은 제1 복수의 다이싱된 집적 디바이스 다이들을 포함하고, 제2 복수의 다이싱된 요소들은 제2 복수의 다이싱된 집적 디바이스 다이들을 포함하는, 방법.
  13. 제11항에 있어서,
    상기 힘을 인가하는 단계 및 직접 접합하는 단계 전에 상기 제2 복수의 요소들을 개별화하는 단계를 추가로 포함하는, 방법.
  14. 제11항에 있어서,
    상기 필름을 위치시키는 단계는 상기 선택된 제1 요소가 상기 제2 요소와 정렬되도록 상기 필름을 상기 지지 구조체에 대해 측방향으로 선택적으로 위치시키는 단계를 포함하는, 방법.
  15. 제12항에 있어서,
    적절히 기능하는 전기적 특성들을 갖는 양품 판정 다이들을 식별하기 위해 상기 제1 복수의 다이들 및 제2 복수의 다이들 중 적어도 하나에 전기적 시험을 수행하는 단계를 추가로 포함하는, 방법.
  16. 제11항에 있어서,
    상기 제1 요소의 제1 접합 표면 및 상기 제2 요소의 제2 접합 표면을 상기 제1 요소가 상기 필름 상에 있는 상태에서 폴리싱하는 단계를 추가로 포함하는, 방법.
  17. 지지 구조체 상에 집적 디바이스를 장착하기 위한 방법으로서,
    복수의 개별화된 집적 디바이스 다이들을 형성하기 위해 기판이 개별화된 후에 상기 복수의 개별화된 집적 디바이스 다이들을 수용하는 단계;
    필름을 제공하는 단계;
    상기 필름에 의해 지지될 상기 복수의 개별화된 집적 디바이스 다이들을 배치하는 단계 - 상기 복수의 개별화된 집적 디바이스 다이들은 상기 필름의 표면을 따라 서로 인접하게 배치됨 -;
    상기 필름의 상기 표면이 지지 구조체의 지지 표면을 향하도록 상기 필름을 상기 지지 구조체에 인접하게 위치시키는 단계;
    상기 복수의 개별화된 집적 디바이스 다이들로부터 제1 양품 판정 다이를 선택하는 단계 - 상기 제1 양품 판정 다이는 적절하게 기능하는 전기적 특성을 가짐 -;
    상기 제1 양품 판정 다이가 상기 지지 구조체의 제1 위치와 정렬되도록 상기 필름을 상기 지지 구조체에 대해 측방향으로 선택적으로 위치시키는 단계; 및
    선택된 상기 제1 양품 판정 다이가 상기 필름으로부터 상기 지지 구조체로 직접 전달되게 하여서 상기 제1 양품 판정 다이가 상기 필름으로부터 제거되도록 상기 필름의 상기 표면에 평행하지 않은 방향으로 힘을 인가하는 단계
    를 포함하는, 방법.
  18. 제17항에 있어서,
    상기 지지 구조체는 요소를 포함하고,
    상기 방법은, 상기 제1 양품 판정 다이를 개재하는 접착제 없이 상기 요소에 직접 접합하는 단계를 추가로 포함하는, 방법.
  19. 제1항에 있어서,
    상기 필름은 상기 복수의 개별화된 요소 중 적어도 2개의 개별화된 요소보다 더 넓은, 방법.
  20. 제11항에 있어서,
    상기 필름은 상기 복수의 개별화된 요소들 중 적어도 2개의 개별화된 요소보다 더 넓은, 방법.
  21. 제17항에 있어서,
    상기 필름은 상기 복수의 개별화된 요소들 중 적어도 2개의 개별화된 요소보다 더 넓은, 방법.
  22. 지지 구조체 상에 복수의 요소들을 장착하기 위한 방법으로서,
    복수의 개별화된 요소들을 수용하는 단계;
    필름을 제공하는 단계;
    상기 필름에 의해 지지될 상기 복수의 개별화된 요소들을 배치하는 단계 - 상기 복수의 개별화된 요소들은 상기 필름의 표면을 따라 서로 인접하게 배치됨 -;
    상기 필름의 상기 표면이 상기 지지 구조체의 지지 표면을 향하도록 상기 필름을 상기 지지 구조체에 인접하게 위치시키는 단계;
    선택된 제1 요소가 상기 지지 구조체의 제1 위치와 정렬되도록 상기 필름을 상기 지지 구조체에 대해 측방향으로 선택적으로 위치시키는 단계; 및
    상기 선택된 제1 요소가 상기 필름으로부터 상기 지지 구조체로 직접 전달되게 하여서 상기 제1 요소가 상기 필름으로부터 제거되고 개재하는 접착제 없이 상기 지지 구조체에 직접 접합되도록 상기 필름의 상기 표면에 평행하지 않은 방향으로 힘을 인가하는 단계
    를 포함하는, 방법.
  23. 제22항에 있어서,
    상기 지지 구조체는 웨이퍼 또는 웨이퍼 스택, 다이 또는 다이 스택, 재구성 웨이퍼(reconstituted wafer), 패널, 재구성 패널, 인쇄 회로 보드, 인터포저(interposer), 유리 기판, 플라스틱 기판, 세라믹 기판, 또는 패키지 기판을 포함하는, 방법.
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
KR1020187022007A 2016-01-13 2016-12-23 반도체 요소의 효율적인 전달을 위한 시스템 및 방법 KR102465110B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662278354P 2016-01-13 2016-01-13
US62/278,354 2016-01-13
US201662303930P 2016-03-04 2016-03-04
US62/303,930 2016-03-04
US15/389,157 2016-12-22
US15/389,157 US10446532B2 (en) 2016-01-13 2016-12-22 Systems and methods for efficient transfer of semiconductor elements
PCT/US2016/068577 WO2017123407A1 (en) 2016-01-13 2016-12-23 Systems and methods for efficient transfer of semiconductor elements

Publications (2)

Publication Number Publication Date
KR20180094105A KR20180094105A (ko) 2018-08-22
KR102465110B1 true KR102465110B1 (ko) 2022-11-08

Family

ID=59275832

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187022007A KR102465110B1 (ko) 2016-01-13 2016-12-23 반도체 요소의 효율적인 전달을 위한 시스템 및 방법

Country Status (6)

Country Link
US (2) US10446532B2 (ko)
EP (1) EP3403276B1 (ko)
KR (1) KR102465110B1 (ko)
CN (3) CN108701638B (ko)
TW (1) TW201735234A (ko)
WO (1) WO2017123407A1 (ko)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
TWI713131B (zh) * 2017-10-13 2020-12-11 久元電子股份有限公司 晶粒轉移設備及使用該設備轉移晶粒的方法
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) * 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10490525B1 (en) 2018-05-10 2019-11-26 International Business Machines Corporation High speed handling of ultra-small chips by selective laser bonding and debonding
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
KR102225956B1 (ko) * 2018-10-19 2021-03-12 세메스 주식회사 다이 본딩 장치, 기판 본딩 장치, 다이 본딩 방법 및 기판 본딩 방법
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US10926521B2 (en) * 2018-12-28 2021-02-23 Palo Alto Research Center Incorporated Method and system for mass assembly of thin film materials
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
TWI711088B (zh) * 2019-01-31 2020-11-21 惠特科技股份有限公司 半導體元件貼合設備
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
CN110329775B (zh) * 2019-04-26 2021-02-26 重庆天辉能源科技有限公司 一种圆柱型锂离子电池生产用盖帽上料盘
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
DE102019118270B4 (de) * 2019-07-05 2021-10-07 X-Fab Semiconductor Foundries Gmbh Verfahren zur Herstellung von Halbleiterbauelementen zur Ausbeutesteigerung beim Mikrotransferdruck
US12080672B2 (en) 2019-09-26 2024-09-03 Adeia Semiconductor Bonding Technologies Inc. Direct gang bonding methods including directly bonding first element to second element to form bonded structure without adhesive
JP7378503B2 (ja) * 2019-10-12 2023-11-13 長江存儲科技有限責任公司 ダイ同士の接合のための方法および構造
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
DE102019132332B3 (de) 2019-11-28 2021-01-28 Infineon Technologies Ag Verfahren zum Herstellen eines Moduls, Lötkörper mit einem erhöhten Rand zum Herstellen eines Moduls und Verwenden des Lötkörpers zum Herstellen eines Leistungsmoduls
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
EP4107775A1 (de) * 2020-02-18 2022-12-28 EV Group E. Thallner GmbH Verfahren und vorrichtung zur übertragung von bauteilen
WO2021183101A1 (en) * 2020-03-09 2021-09-16 Hewlett-Packard Development Company, L.P. Fluidic die with bond pads having different heights
KR102295435B1 (ko) * 2020-03-12 2021-08-31 에이엠티 주식회사 미세 피치를 갖는 디바이스의 얼라인 및 테스트장치 그리고 디바이스의 얼라인방법
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11911904B2 (en) * 2020-07-15 2024-02-27 Micron Technology, Inc. Apparatus and methods for enhanced microelectronic device handling
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20230014913A1 (en) * 2021-07-15 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Heat Dissipation Structures for Integrated Circuit Packages and Methods of Forming the Same
CN113972143A (zh) * 2021-10-18 2022-01-25 长鑫存储技术有限公司 半导体结构的键合方法和半导体设备
WO2023122687A1 (en) * 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Apparatuses and methods for die bond control
US20230245988A1 (en) * 2022-01-28 2023-08-03 Apple Inc. Harvested Reconstitution Bumping
JP2023144616A (ja) * 2022-03-28 2023-10-11 東レエンジニアリング株式会社 転写装置および転写方法
EP4394851A1 (en) * 2022-12-30 2024-07-03 ASML Netherlands B.V. Recess-based pick and place for heterogeneous integration

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100258543A1 (en) * 2009-04-10 2010-10-14 Sony Corporation Method of transferring device

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Industrial Co., Ltd. Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5946257A (en) 1996-07-24 1999-08-31 Micron Technology, Inc. Selective power distribution circuit for an integrated circuit
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP4598224B2 (ja) 2000-03-30 2010-12-15 シャープ株式会社 ヘテロ接合バイポーラ型ガン効果四端子素子
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) * 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP4491948B2 (ja) * 2000-10-06 2010-06-30 ソニー株式会社 素子実装方法および画像表示装置の製造方法
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
US6915551B2 (en) 2002-08-02 2005-07-12 Matrics, Inc. Multi-barrel die transfer apparatus and method for transferring dies therewith
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
JP4624813B2 (ja) 2005-01-21 2011-02-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体製造装置
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
CN101164150B (zh) * 2005-04-08 2010-08-18 派克泰克封装技术有限公司 将芯片转移到接触基底的装置和方法
US20070003737A1 (en) * 2005-06-30 2007-01-04 Rami Khalaf Polymer to gold adhesion improvement by chemical and mechanical gold surface roughening
US7485968B2 (en) * 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
DE102007020656B4 (de) 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US8367471B2 (en) * 2007-06-15 2013-02-05 Micron Technology, Inc. Semiconductor assemblies, stacked semiconductor devices, and methods of manufacturing semiconductor assemblies and stacked semiconductor devices
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US11302617B2 (en) * 2008-09-06 2022-04-12 Broadpak Corporation Scalable semiconductor interposer integration
WO2010059781A1 (en) 2008-11-19 2010-05-27 Semprius, Inc. Printing semiconductor elements by shear-assisted elastomeric stamp transfer
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US20110151588A1 (en) * 2009-12-17 2011-06-23 Cooledge Lighting, Inc. Method and magnetic transfer stamp for transferring semiconductor dice using magnetic transfer printing techniques
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
JP5517800B2 (ja) * 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US9306117B2 (en) * 2011-07-25 2016-04-05 Industrial Technology Research Institute Transfer-bonding method for light emitting devices
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US20130285259A1 (en) * 2012-04-30 2013-10-31 Caleb C. Han Method and system for wafer and strip level batch die attach assembly
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8759961B2 (en) 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9162880B2 (en) * 2012-09-07 2015-10-20 LuxVue Technology Corporation Mass transfer tool
US20140070405A1 (en) 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9054063B2 (en) * 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US20150262902A1 (en) * 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9633982B2 (en) * 2015-02-17 2017-04-25 Chun Yen Chang Method of manufacturing semiconductor device array
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN117878055A (zh) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 堆栈基板的处理
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100258543A1 (en) * 2009-04-10 2010-10-14 Sony Corporation Method of transferring device

Also Published As

Publication number Publication date
CN108701638A (zh) 2018-10-23
KR20180094105A (ko) 2018-08-22
US20170200711A1 (en) 2017-07-13
EP3403276A4 (en) 2019-08-21
CN116631914A (zh) 2023-08-22
CN116845001A (zh) 2023-10-03
EP3403276A1 (en) 2018-11-21
CN108701638B (zh) 2023-06-20
US20200043910A1 (en) 2020-02-06
WO2017123407A1 (en) 2017-07-20
TW201735234A (zh) 2017-10-01
US10896902B2 (en) 2021-01-19
US10446532B2 (en) 2019-10-15
EP3403276B1 (en) 2021-04-14

Similar Documents

Publication Publication Date Title
KR102465110B1 (ko) 반도체 요소의 효율적인 전달을 위한 시스템 및 방법
EP3402676B1 (en) Placing ultra-small or ultra-thin discrete components
US6514790B1 (en) Method for handling a plurality of circuit chips
CN103811419B (zh) 半导体片芯单颗化方法和装置
US7432114B2 (en) Semiconductor device manufacturing method
US8580612B2 (en) Chip assembly
US10937680B2 (en) Setting up ultra-small or ultra-thin discrete components for easy assembly
KR101851829B1 (ko) 다이 본더 및 그 사용 방법
EP3610501B1 (en) Method of die to wafer bonding of dissimilar thickness die
US20130295721A1 (en) Apparatus to fabricate flip-chip packages and method of fabricating flip-chip packages using the same
CN113540049A (zh) 半导体封装件和制造半导体封装件的方法
KR101944355B1 (ko) 반도체 제조 장치
KR101946851B1 (ko) 반도체 제조 장치
KR101939347B1 (ko) 반도체 제조 장치
JP7494067B2 (ja) 半導体装置の製造方法、及び半導体製造装置
US20240128208A1 (en) Semiconductor package and semiconductor package assembly with edge side interconnection and method of forming the same
US20240203764A1 (en) Wafer-level die-transfer tool and method
KR101946843B1 (ko) 반도체 제조 장치
KR101794977B1 (ko) 반도체 제조 장치
Chen et al. High-Speed Precision Handling Technology of Micro-Chip for Fan-Out Wafer Level Packaging (FOWLP) Application
JP2004087529A (ja) 半導体装置の製造方法およびそれに使用されるボンディング装置
KR20180098985A (ko) 반도체 제조 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant