KR101911469B1 - 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층 - Google Patents

고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층 Download PDF

Info

Publication number
KR101911469B1
KR101911469B1 KR1020127026640A KR20127026640A KR101911469B1 KR 101911469 B1 KR101911469 B1 KR 101911469B1 KR 1020127026640 A KR1020127026640 A KR 1020127026640A KR 20127026640 A KR20127026640 A KR 20127026640A KR 101911469 B1 KR101911469 B1 KR 101911469B1
Authority
KR
South Korea
Prior art keywords
gas
features
substrate
passivation layer
silicon nitride
Prior art date
Application number
KR1020127026640A
Other languages
English (en)
Other versions
KR20130050918A (ko
Inventor
나가라잔 라자고팔란
신하이 한
리안 야마세
지 애 박
사믹 파텔
토마스 노왁
젱지앙 취
메훌 네이크
흥락 박
란 딩
복 현 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130050918A publication Critical patent/KR20130050918A/ko
Application granted granted Critical
Publication of KR101911469B1 publication Critical patent/KR101911469B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L24/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1181Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • H01L2224/11827Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1183Reworking, e.g. shaping
    • H01L2224/11831Reworking, e.g. shaping involving a chemical process, e.g. etching the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • H01L2224/11901Methods of manufacturing bump connectors involving a specific sequence of method steps with repetition of the same manufacturing step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13562On the entire exposed surface of the core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • H01L2224/1358Plural coating layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • H01L2224/1358Plural coating layers being stacked
    • H01L2224/13583Three-layer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/13687Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L2224/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판의 피쳐들 상에 실리콘 질화물을 포함하는 패시베이션 층을 형성하는 방법이 설명된다. 증착 방법의 제 1 스테이지에서, 실리콘-함유 가스 및 질소-함유 가스를 포함하는 유전체 증착 가스는 프로세스 구역 안으로 도입되고 실리콘 질화물 층을 증착하기 위해 에너자이징된다. 제 2 스테이지에서, 유전체 증착 가스의 조성물과 상이한 조성물을 갖는 처리 가스는 프로세스 구역 안으로 도입되며, 실리콘 질화물 층을 처리하기 위해 에너자이징된다. 제 1 및 제 2 스테이지들은 복수회 수행될 수 있다.

Description

고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층{SILICON NITRIDE PASSIVATION LAYER FOR COVERING HIGH ASPECT RATIO FEATURES}
본 발명의 실시예들은 기판들 상에 전자 회로들을 제조하기 위해 사용되는 고 종횡비 피쳐들 상에 실리콘 질화물을 포함하는 패시베이션 층의 형성에 관한 것이다.
집적, 디스플레이, 메모리, 전력, 및 광전지 회로들과 같은 전자 회로들은 점점 고밀도가 되고 더 복잡하게 되고 있다. 이들 회로들의 피쳐들의 치수들은 기판에 걸쳐 더 큰 에어리얼 밀도(aerial density)들을 허용하기 위해 더 작아지고 있다. 이들 피쳐들은 커넥터 범프들, 상호연결부들, 반도체 또는 산화물 피쳐들, 게이트들, 전극들, 저항들, 비아들 및 기타 많은 것들을 포함한다. 이러한 피쳐들의 종횡비는 피쳐들의 폭 또는 수평 치수가 더 작아짐에 따라 증가하는데, 그 이유는 피쳐들의 수직 치수가 동일한 단면적을 제공하기 위해 더 커야만 되기 때문이다. 피쳐의 폭에 대한 높이의 비율인 종횡비는 피쳐들을 보호하거나 또는 전기적으로 절연시키기 위해 피쳐들이 패시베이션 층에 의해 덮여지는 경우 까다로운 문제이다.
예로서, 패시베이션 층(10)은, 도 1a 및 도 1b에 도시된 바와 같이, 피쳐들을 다른 물질들로 코팅하기 전에 또는 코팅하는 동안 피쳐들(12)의 금속-함유 표면의 산화를 방지하도록 피쳐들(12)을 덮기 위해 사용될 수 있다. 피쳐들(12)은 상호연결부들(13)(도 1a) 및 커넥터 범프들(14)(도 1b)을 포함한다. 상호연결부들(13)은 기판(15) 상의 능동 및 수동 디바이스들을 연결하기 위해 사용된다. 커넥터 범프들(14)은, 예를 들면, 집적 회로 칩과 외부 환경 사이의 상호연결 포인트들로서 역할하기 위해 플립 칩 패키징에서 사용된다. 커넥터 범프들(14)은 다이가 "플립된" 회로-뒤집히게("flipped" circuit-upside-down) 하도록 그리고 커넥터 또는 회로 보드에 직접 납땜되게 하도록 본딩 패드들 상에 형성되고, 이에 의해 종래의 와이어 본드들 및 포일 커넥터들의 시간 및 비용을 절약한다. 상호연결부들(13) 및 커넥터 범프들(14) 양측 모두는 패시베이션 층(10)에 의해 덮여진다.
그러나, 상호연결부들(13) 또는 커넥터 범프들(14)의 종횡비가 0.2 를 초과하는 값들로 증가함에 따라, 피쳐들(12) 주위에, 특히, 피쳐들의 요각(re-entrant) 모서리들(17) 주위에 연속적인, 컨포멀한(conformal), 그리고 실질적으로 결함-없는 패시베이션 층(10)을 증착하는 것은 점점 어려워진다. 도 1a를 참조하면, 패시베이션 층(10)은 시임들(seams)(16)과 같은 결함들(11)을 형성하는데, 이는 상호연결부들(13)의 모서리들(17)에서 패시베이션 층(10)을 쪼개지게(split open) 한다. 커넥터 범프들(14) 상의 패시베이션 층(10)은 또한 커넥터 범프들(14)의 기저(base) 주변의 모서리들(17)에서 시임들(16)을 형성할 수 있다.
시임 문제는 종종 칩 패키징, 재배선 층들(RDL), 또는 스루-실리콘-비아(TSV) 구리 또는 텅스텐 비아들에서 요각 모서리들(17)의 기하학적 엘리먼트들에 의해 악화된다. 예를 들면, 도 1c에 도시된 바와 같이, 실리콘 비아들(18)과 같은 고 종횡비 피쳐들(12)은, 유전체 층(19)을 통하여 형성되는 구멍들을 포함하는데, 이는 상호연결부(13)와 같은 아래에 놓인(underlying) 피쳐와 커넥터 범프(14)와 같은 위에 놓인(overlying) 피쳐 사이에 연결을 형성하기 위해 전기적인 도전성 물질로 채워진다. 실리콘 비아(18) 및 위에 놓인 커넥터 범프(14)가 패시베이션 층(10)으로 코팅되는 경우, 시임들(16)은 종종 커넥터 범프(14) 및 실리콘 비아(18)와 패시베이션 층(10)의 교차점에서 형성되는 요각 모서리들(17)에서 발생한다. 고 종횡비 피쳐들(12)의 또 다른 예는 패시베이션 층(10)으로 덮여진 산화물 구조체들(미도시)을 포함한다. 산화물 구조체들은 스루-실리콘 비아들에 형성되는 산화물 라이너 층들, 또는 기판의 이면에서 비아 연결을 노출시키게 하는 스루-실리콘-비아들의 구리 필러(pillar)들의 상부 상에 형성되는 산화물 층들과 같은 실리콘 이산화물 함유 구조체들을 포함할 수 있다. 다시, 결함들(11)은 이러한 피쳐들(12)을 덮는 패시베이션 층(10)에 형성된다.
복잡한 기하형상을 갖는, 특히, 뾰족한 에지들 및 각들을 갖는 요각 모서리들(17)을 갖는 피쳐들(12)의 구역들에서 패시베이션 층들(10) 내의 결함들(11)은 또한 마이크로-크랙들, 아주 가느다란 크랙들, 및 더 다른 것들과 같은 다른 유형들일 수 있다. 그러나, 여전히 결함들이 이러한 패시베이션 층들(10)에 발생하는 것을 방지하면서, 이들 고 종횡비들로 피쳐들(12)을 형성하고, 이들 피쳐들의 기하형상 및 다른 치수들을 유지하는 방법은 명확하지 않다.
따라서, 이들 및 다른 결함들을 포함하는 다양한 이유들 때문에, 그리고 피쳐들 주변에 패시베이션 층들을 증착하는 다양한 방법들의 개발에도 불구하고, 패시베이션 층들의 증착에서의 추가적인 개선들은 지속적으로 찾아지고 있다.
기판의 피쳐들 상에 실리콘 질화물 층을 포함하는 패시베이션 층을 형성하는 방법은 프로세스 구역에 복수의 피쳐들을 갖는 기판을 제공하는 단계를 포함한다. 제 1 스테이지(stage)에서, 실리콘-함유 가스 및 질소-함유 가스를 포함하는 유전체 증착 가스는 상기 프로세스 구역 안으로 도입되고, 상기 피쳐들 상에 실리콘 질화물 층을 증착하기 위해 에너자이징된다(energized). 제 2 스테이지에서, 상기 유전체 증착 가스와는 상이한 조성을 갖는 처리 가스가 상기 프로세스 구역 안으로 도입되고, 상기 실리콘 질화물 층을 처리하기 위해 에너자이징된다. 상기 제 1 및 제 2 스테이지들은 복수회 수행된다.
상기 방법은 수소-함유 가스를 포함하는 세정(cleaning) 가스를 상기 프로세스 구역 안으로 제공하는 단계, 및 상기 기판의 상기 피쳐들 상에 본래의(native) 산화물 막을 세정 제거(clean off)하는 수소-함유 종들을 포함하는 에너자이징된 세정 가스를 형성하기 위해 상기 세정 가스를 에너자이징하는 단계를 포함하는 초기 세정 스테이지를 포함할 수 있다.
또 다른 버전에서, 초기 소킹(soaking) 스테이지는 실란을 포함하는 소킹 가스를 상기 프로세스 구역 안으로 제공하는 단계, 및 상기 기판의 상기 피쳐들 상에 접착 층을 증착하기 위해 약 100℃ 내지 약 240℃의 온도에서 상기 기판을 유지하는 단계를 포함한다.
또 다른 버전에서, 상기 방법은 상기 피쳐들 상에 대략 100Å보다 큰 두께 및 적어도 약 100㎫의 인장 응력을 갖는 컨포멀 라이너를 증착하는 단계를 포함한다. 상기 컨포멀 라이너는, (1) 상기 프로세스 구역 안으로 (ⅰ) SiH4, NH3, 및 N2; (ⅱ) 트리실리아민(trisilyamine), NH3 및 N2; (ⅲ) SiH4 또는 N2; 또는 (ⅳ) 트리실리아민 또는 N2 케미스트리(chemistry)를 포함하는 라이너 가스를 도입함으로써; 그리고 (2) 플라즈마를 형성하기 위해 상기 라이너 가스를 에너자이징함으로써 증착될 수 있다.
본 발명의 이들 특징들, 양상들 및 장점들은 본 발명의 예들을 예시하는 다음의 설명, 첨부된 청구항들 및 첨부된 도면들에 관하여 더 잘 이해될 것이다. 그러나, 특징들의 각각은 본 발명에서 단지 특정 도면들의 맥락에서가 아니라, 일반적으로 사용될 수 있으며, 본 발명은 이들 특징들의 임의의 조합을 포함함이 이해될 것이다;
도 1a(종래 기술)는 상호연결부인 고 종횡비 피쳐를 덮는 패시베이션 층의 모서리들에서 시임들을 도시하는 기판의 개략적 단면도이고;
도 1b(종래 기술)는 위에 놓인 패시베이션 층의 모서리들에서 시임들을 갖는 기판 상의 커넥터 범프의 개략적 단면도이며;
도 1c(종래 기술)는 비아를 포함하는 고 종횡비 피쳐를 갖고 패시베이션 층의 모서리들에서 시임들을 도시하는 기판의 개략적 단면도이고;
도 2a는 기판 상의 상호연결부를 포함하고 상호연결부의 하부 요각 모서리들에서 시임들 없이 컨포멀 코팅을 제공하는 패시베이션 층을 도시하는 고 종횡비 피쳐의 개략적 단면도이며;
도 2b는 커넥터 범프 위에 증착되는 균일한 증착을 갖는 컨포멀 패시베이션 층을 도시하는 기판 상의 커넥터 범프의 개략적 단면도이고;
도 2c는 커넥터 범프 및 비아 위에 균일한 패시베이션 층의 증착을 도시하는 비아 및 위에 놓인 커넥터 범프의 개략적 단면도이며;
도 3은 기판의 피쳐들 상에 서브-층들을 갖는 패시베이션 층을 증착하기 위한 프로세스의 예시적인 버전의 흐름도이고;
도 4는 패시베이션 층을 기판 상에 형성 및 처리하고, 초기 세정 및 소킹 프로세스들을 기판 상에서 수행하며, 응력된 컨포멀 라이너를 기판 상에 증착하는데 적합한 기판 프로세싱 챔버의 실시예의 개략적인 도면이며;
도 5는 커넥터 범프를 포함하는 고 종횡비 피쳐 위에 증착되는 실리콘 질화물의 패시베이션 층의 모서리에서 결함들이 없음을 도시하는 주사형 전자 현미경 사진이고;
도 6은 비교적 고 굴절률을 갖는 실리콘 질화물의 패시베이션 층의 모서리를 따라 시임들이 없음을 도시하는 주사형 전자 현미경 사진이며; 그리고
도 7은 패시베이션 층의 모서리들에서 시임들 또는 크랙들이 없음을 도시하는 얇은 컨포멀 라이너 위에 증착되는 실리콘 질화물의 패시베이션 층을 포함하는 고 종횡비 피쳐의 주사형 전자 현미경 사진이다.
패시베이션 층(20)은, 도 2a 내지 도 2c에 도시된 바와 같이, 기판(22)의 피쳐들(24) 상에 연속적인, 컨포멀한, 그리고 실질적으로 결함이 없는 코팅을 형성하기 위한 증착 및 처리 프로세스들을 이용하여 기판(22) 상에 증착될 수 있다. 기판(22)은, 예를 들면, 반도체 웨이퍼, 화합물 반도체, 또는 유전체일 수 있다. 반도체 웨이퍼는 실리콘, 게르마늄, 또는 실리콘 게르마늄의 단일 또는 다소 큰 결정들을 포함한다. 예시적인 화합물 반도체는 갈륨 비소를 포함한다. 적합한 유전체는 글라스 패널 또는 디스플레이를 포함하고, 다른 물질들 중에서 보로포스포실리케이트 글라스(borophosphosilicate glass), 포스포실리케이트 글라스, 보로실리케이트 글라스, 및 포스포실리케이트 글라스를 포함할 수 있다.
패시베이션 층(20)은 도 2a에 도시된 바와 같이 단일 층일 수 있거나 또는 도 2b에 도시된 바와 같이 복수의 층들(20a-d)일 수 있다. 예를 들면, 패시베이션 층(20)은 단일 유전체 층(25), 또는 유전체 층(25a,b)을 각각 포함하는 복수의 층들(20a,b)일 수 있다. 패시베이션 층(20)은 외부 환경에 관해 피쳐들(24)의 아래에 놓인 물질들의 반응 속도들을 감소시킴으로써 피쳐들(24)의 아래에 놓인 물질들의 노출된 표면들을 패시베이팅(passivate)하기 위해 제공된다. 예를 들면, 금속-함유 물질을 포함하거나, 또는 심지어 금속-함유 물질로 구성되는 피쳐들 위에 증착되는 패시베이션 층(20)은 피쳐들의 금속-함유 표면들 상의 본래의 산화물 막들의 형성을 감소시킬 수 있다. 유전체 층은, 예를 들면, 실리콘 질화물(Si3N4), 실리콘 이산화물(SiO2), 또는 다른 그러한 물질들일 수 있다. 전형적으로, 유전체 층은 1000 옹스트롬, 또는 심지어 500 옹스트롬 보다 작은 두께로 증착된다.
패시베이션 층(20)은 또한 유전체 층(25)을 피쳐들(24)의 노출된 표면들(28)에 접착하기 위하여 유전체 층(25) 아래에 증착되는 접착 층(27)과 같은 다른 층들(20c)을 포함할 수 있다. 접착 층(27)은 유전체 층(25)과 동일한 물질, 이형의 유전체 물질, 또는 상이한 물질로 구성될 수 있다. 예를 들면, 유전체 층(25)이 실리콘 질화물 층을 포함하는 경우, 접착 층(27)은 실리콘이 풍부한(silicon-rich) 실리콘 질화물 층일 수 있다.
패시베이션 층(20)은 유전체 층(25) 아래에 형성되는 컨포멀 라이너(29)와 같은 다른 컴포넌트 층(20d)을 더 포함할 수 있다. 컨포멀 라이너(29)는 접착 층(27) 위에 증착될 수 있다. 컨포멀 라이너(29)는 접착 및 스텝 커버리지를 증진시키도록 역할을 한다. 적합한 컨포멀 라이너(29)는 실리콘 전구체들로서 SiH4 또는 TSA로 이루어진 Si3N4 막으로 구성된다.
전체 패시베이션 층(20)은 다른 종래의 프로세스들을 이용하여 이미 기판(22) 상에 형성된 피쳐들(24) 상에 증착된다. 피쳐들(24)은 상이한 형상들 및 기판(22)의 평평한 평면으로부터 밖으로 연장되는 단면 프로파일을 가질 수 있다. 예를 들면, 피쳐들(24)은 상호연결부들(13), 커넥터 범프들(14), 실리콘 비아들(18), 산화물 구조체들, 또는 이들 또는 다른 형상들 및 구조체들의 조합들을 포함할 수 있고, 일부 예들은 도 2a 내지 도 2c에 제공된다. 패시베이션 층(20)은 아래에 놓인 피쳐들(24)의 컨포멀한 커버리지를 심지어, 0.2보다 큰, 또는 5보다 훨씬 큰, 또는 10보다 훨씬 큰 폭에 대한 높이의 비율을 갖는 고 종횡비 피쳐들(26)에 대해 제공한다. 예를 들면, 패시베이션 층(20)은 도 2a의 상호연결부(13), 도 2b의 커넥터 범프(14), 또는 도 2c의 유전체 층(19)에서의 커넥터 범프(14) 및 비아(18)와 같은 금속-함유 물질을 포함하는 피쳐들(24)을 덮기 위해 증착될 수 있다. 본 출원에서, 패시베이션 층(20)은 이들 피쳐들(24)의 금속-함유 표면의 산화를 방지하거나 감소시킨다.
패시베이션 층(20)의 제조는 기판(22)을 프로세싱하기 위한 예시적인 프로세스들 및 예시적인 프로세스 챔버를 참조하여 이제 설명될 것이다. 선택적인 단계들을 갖는 예시적인 프로세스는 도 3의 흐름도에 도시된다. 본 명세서에서 설명되는 프로세스들 중 임의의 프로세서는 프로세스 챔버(40)의 프로세스 구역(42)에 기판(22)을 위치시킴으로써 수행될 수 있고, 예시적인 버전의 적합한 챔버가 도 4에 도시된다. 예시적인 버전들의 프로세스들 및 프로세스 챔버(40)가 도 3 및 도 4에 도시되지만, 당업자들에게 명백한 바와 같이, 다른 프로세스들이 이용될 수 있고, 이들 프로세스들은 다른 프로세스 챔버들에서 수행될 수 있음이 이해되어야 한다. 따라서, 본 명세서에서 도시된 예시적인 버전들의 프로세스 및 챔버는 본 청구항들의 범위를 제한하도록 사용되지 않아야 한다.
기판(22) 상에 패시베이션 층(20)을 증착하기 전에, 선택적으로, 피쳐들(24)의 노출된 표면들, 특히, 도 3의 흐름도에 도시된 바와 같이, 금속-함유 물질을 포함하는 노출된 표면들을 세정하기 위해 초기 세정 스테이지를 수행하는 것이 바람직할 수 있다. 피쳐들(24)이 전기적인 상호연결부(13) 또는 커넥터 범프(14)와 같은 금속 또는 금속-함유 물질로 이루어진 경우, 피쳐들(24)의 노출된 표면은 본래의 산화물 막을 형성하기 위해 산화되게 된다. 세정 프로세스는 본래의 산화물 막 또는 피쳐들(24)의 표면상에 형성된 다른 프로세스 증착물들을 산소-함유 환경에 대한 노출로부터 제거한다. 세정 프로세스는 금속-함유 물질, 예를 들면, 알루미늄, 구리, 티타늄, 텅스텐 또는 합금들 및 이들의 화합물들 또는 다른 물질들을 포함하는 피쳐(24)의 표면을 세정할 수 있다.
세정 프로세스의 하나의 버전에서, 수소-함유 가스를 포함하는 세정 가스는 피쳐들(24)의 표면 상에 형성된 본래의 산화물을 제거하기 위해 사용된다. 세정 프로세스는 에너지를 H2, 또는 N2, 및 NH3, 또는 H2O, 또는 SiH4와 같은 적합한 수소-함유 가스에 결합시킴으로써 형성되는 수소-함유 플라즈마 종들을 포함하는 에너자이징된 세정 가스에 기판(22)을 노출시킨다. 수소-함유 가스의 적합한 용적 유량은 약 100 sccm 내지 약 18 리터/분이다. 수소-함유 플라즈마 종들은 피쳐들(24) 상에 형성된 본래의 산화물 막의 산소-컴포넌트와 화학적으로 반응하여, 배기될 수 있는 휘발성 수산기 종들 또는 수증기를 형성하며, 그에 의해, 본래의 산화물 막을 피쳐(24)의 표면으로부터 제거한다고 생각된다. 따라서, 에너자이징된 수소-함유 레디컬(radical)들은 특히 피쳐(24) 상의 본래의 산화물 막들과 상호 작용하고, 주위 층들의 구조체를 바람직하지 않게 손상시키지 않는다.
예시적인 세정 가스 조성물은 약 500 내지 약 3000 sccm(예를 들면, 약 1000 sccm)의 용적 유량의 H2를 포함하거나 또는 필수적으로 구성된다. 다른 예에서, 세정 가스는 약 50 내지 약 300 sccm(예를 들면, 약 160 sccm)의 용적 유량의 NH3, 및 약 1000 L/분 내지 약 30,000 L/분(예를 들면, 약 18,000 L/분)의 용적 유량의 N2의 혼합물을 포함한다. 또 다른 예에서, 세정 가스는 약 500 내지 약 3000 sccm(예를 들면, 약 1000 sccm)의 용적 유량의 H2, 및 약 50 내지 약 300 sccm(예를 들면, 약 160 sccm)의 용적 유량의 NH3의 혼합물을 포함한다. 이들 예들에서, 기판(22)은 프로세스 구역(42)에 위치되고, 세정 가스 조성물은 챔버(40) 안으로 도입되며, 약 1.5 내지 약 8.0 Torr 또는 심지어 9.0 Torr까지 범위의 압력에서 유지된다. 그 다음으로, 플라즈마는 약 50 내지 약 700 와트(예를 들면, 150 와트)의 전력 레벨에서 RF 에너지를 프로세스 구역(42) 주변의 프로세스 전극들(44a,b)에 결합시킴으로써 세정 가스로부터 형성된다. 프로세스 전극들(44)은 약 50 ㎜(200 mils) 내지 약 150 ㎜(600 mils)의 간격으로 유지될 수 있다. 기판(10)의 온도는 약 180 내지 약 550℃, 예를 들면 400℃에서 유지된다.
세정 프로세스 후에, 선택적인 초기 소킹 스테이지는 피쳐들(24) 위에 접착 층(27)을 증착하기 위해 수행될 수 있다. 이러한 접착 층은, 증착되는 경우, 최종 패시베이션 층(20)의 일부를 형성한다. 이러한 프로세스의 하나의 버전에서, 기판(22) 상의 피쳐들(24)은 예를 들면, 실리콘이 풍부한 실리콘 질화물의 얇은 층을 포함하는 접착 층을 증착하기 위해 실란을 포함하는 소킹 가스에 노출된다. 얇은, 실리콘이 풍부한 실리콘 질화물 층은 약 10 A 내지 약 100 A의 두께를 포함한다.
예시적인 소킹 프로세스에서, 기판(22)은 프로세스 구역(42) 안으로 이송되고, 약 100℃ 내지 약 240℃, 예를 들면, 약 180℃의 온도에서 유지된다. 그 다음으로, 실란, 암모니아, 및 질소를 포함하는 소킹 가스는 프로세스 구역(42) 안으로 도입되고, 기판(22)은 온도로 실리콘이 풍부한 가스 환경에서 소킹하도록 허용된다. 소킹 가스의 적절한 조성물은 : 약 200 내지 약 800 sccm(예를 들면, 약 500 sccm)의 용적 유량의 실란; 약 200 내지 약 800 sccm(예를 들면, 약 450 sccm)의 유량의 암모니아; 및 약 4000 내지 약 12,000 sccm(예를 들면, 약 8000 sccm)의 유량의 질소를 포함한다. 소킹 가스는 약 1 내지 약 5 Torr(예를 들면, 2.2 Torr)의 압력에서 유지된다. 소킹 프로세스는 약 5 내지 약 30초(예를 들면, 약 10초) 동안 수행될 수 있다. 소킹 프로세스 동안, RF 에너지는 전극들(44a,b)에 인가되지 않는다; 대신, 기판(22)은 실리콘이 풍부한 실리콘 질화물의 얇은 층을 형성하기 위해 소킹 가스에서 온도로 소킹하도록 허용된다. 소킹 프로세스는 피쳐들(24)이 구리로 구성되는 커넥터 범프들(14)을 포함하는 경우 특히 적용가능하다.
선택적인 라이닝 프로세스에서, 컨포멀 라이너(29)는 피쳐들(24) 위에 또는 소킹 프로세스에서 형성되는 접착 층 위에 직접 증착된다. 컨포멀 라이너(29)는 또한 패시베이션 층(20)의 일부를 형성하고, 위에 놓인 층들이 더 큰 컨포멀러빌러티(conformability)로 피쳐들(24)의 프로파일에 증착하게 한다. 일 버전에서, 컨포멀 라이너(29)는, 분광 타원편광 반사법(spectroscopic ellipsometry) 또는 단일 파장 타원편광 반사법을 이용하며, 캘리포니아 새너 제이(San Jose) 소재의 KLA-텐고사의 KLA-Tencor FX-100과 같은 막 두께 및 응력 측정 툴에 의해 측정된 바와 같이, 적어도 약 100 ㎫의 진성 인장 응력을 포함한다. 컨포멀 라이너(29)는 피쳐들(24)의 노출된 표면들과 위에 놓인 패시베이션 층(20) 사이의 계면에서 응력 변화도(gradient)를 감소시킴으로써 결함들(11)을 감소시키는 것이라 생각된다. 컨포멀 라이너(29)는 트리아미노실란(triaminosilane)과 같이, 0.14보다 작은 저 점착 계수(sticking coefficient)를 갖는 플라즈마 종들의 형성으로부터 기인한다. 저 점착 계수 종들은 피쳐들(24)의 노출된 표면에서 표면 에너지를 감소시키고, 컨포멀 라이너(29)가 피쳐들(24)의 하부 모서리들(30)에서 요각 프로파일을 덮게 하며, 따라서, 시임들을 초래하는 이들 하부 모서리들(30)에서 고-응력 집중들을 방지한다. 일 버전에서, 컨포멀 라이너(29)는 예를 들면, 약 100 옹스트롬보다 작은 두께를 갖는 얇은 층이다. 컨포멀 라이너(29)는 프로세스 구역(42) 안으로 실리콘-함유 가스 및 질소-함유 가스를 포함하는 라이너 가스를 도입하고, 유량, 압력, 플라즈마 전력 등과 같은 상술한 프로세스 조건들 중 임의의 조건에서 라이너 가스를 플라즈마로 에너자이징함으로써, 증착될 수 있다. 라이너 가스의 적합한 조성물은 실란을 포함하는 실리콘-함유 가스, 및 암모니아 및 질소의 혼합물을 포함하는 질소-함유 가스를 포함한다. 다른 버전에서, 라이너 가스의 다른 조성물은 트리실리아민(TSA)을 포함하는 실리콘-함유 가스, 및 질소 또는 암모니아와 질소의 혼합물을 포함하는 질소-함유 가스를 포함한다. 또 다른 버전에서, 라이너 가스는 실란 또는 트리실리아민과 같은 단지 실리콘-함유 가스만 또는 질소와 같은 단지 질소-함유 가스만을 포함한다. 각각의 경우, 라이너 가스는 PECVD 챔버와 같은 병렬 플레이트 반응기에 인가되는 RF 전력에 의해 형성되는 플라즈마에 의해 에너자이징된다.
선택적인 세정 및 라이닝 프로세스들 후에, 패시베이션 층(20)의 유전체 층(25)은 피쳐들(24)을 컨포멀가능하게 덮기 위해 증착된다. 증착 프로세스들은 고 종횡비 피쳐들(26)과 같은 피쳐들(24)의 하부 모서리들(30)에서 균일하고 연속적이며, 실질적으로 결함들(11)이 없는 유전체 층(25)의 증착을 허용한다.
일 버전에서, 실리콘 질화물 층을 포함하는 패시베이션 층(20)은 기판(22) 상에 증착된다. 이러한 프로세스에서, 기판(22)은 챔버(40)의 프로세스 구역(42)에 배치되며, 증착 프로세스 동안 비교적 저온으로 가열된다. 낮은 증착 온도는 피쳐들(24), 특히 고 종횡비 피쳐들(26)의 형상에 컨포멀한 패시베이션 층(20)을 증착하는데 중요하다. 패시베이션 층(20)이 피쳐들(24)의 전체 노출된 표면들뿐만 아니라 피쳐들(24) 사이의 공간(32) 위에 비교적 균일한 두께로 아래에 놓인 피쳐들(24)의 프로파일을 따른다는 것은 컨포멀로 의미된다. 일 버전에서, 유전체 증착 프로세스 동안, 기판(22)은 약 180℃ 내지 약 550℃ 또는 심지어 약 160℃ 내지 약 420℃의 온도로 가열된다. 이들 온도들은 전형적으로 600℃ 또는 심지어 700 ℃를 초과했던 종래 기술의 온도들보다 훨씬 낮다.
제 1 증착 스테이지에서, 실리콘-함유 가스 및 질소-함유 가스를 포함하는 유전체 증착 가스는 프로세스 구역(42) 안으로 도입된다. 실리콘-함유 가스는 실리콘을 포함하는 가스인데, 이는 가스 또는 증기의 유동으로 제공되는 실리콘-함유 화합물일 수 있다. 실리콘-함유 가스들은 실란, 디실란, 트리메틸실릴(trimethylsilyl; TMS), 트리스(디메틸아미노)실란)(tris(dimethylamino)silane; TDMAS), 비스(제 3-부틸아미노)실란(bis(tertiary-butylamino)silane; BTBAS), 디클로로실란(di-chlorosilane; DCS), 또는 이들의 혼합물들일 수 있다. 일 버전에서, 실리콘-함유 가스는 실란(SiH4)을 포함한다. 적합한 실란 유량은 약 50 내지 약 2000sccm이거나, 또는 약 400 내지 약 1000sccm이다. 질소-함유 가스는 암모니아(NH3), 질소(N2), 또는 이들의 혼합물들일 수 있다. 일 버전에서, 질소-함유 가스는 암모니아 및 질소의 혼합물을 포함한다. 암모니아에 대한 적절한 유량은 약 100 내지 약 1000sccm이거나, 심지어 약 400 내지 약 800sccm이다. 질소 가스는 질소 원자들의 소스로서 뿐만 아니라 프로세스 구역(42)에서 형성되는 플라즈마의 에너지 및 특징을 제어하기 위한 희석(diluent) 가스로서 역할을 한다. 희석 가스는 실리콘-함유 가스 또는 질소-함유 가스에 비교하여 비교적 큰 양으로 첨가된다. 희석 가스는 플라즈마에서 반응성 종들에 대한 에너자이징된 종들의 비율을 제어하도록 역할을 하고, 또한 반응성 가스 분자들의 수에 비하여 큰 수의 희석 가스 분자들 사이의 더 큰 수의 충돌들을 통해 에너지를 전달함으로써, 플라즈마 내에서 추가적인 종들을 분리하기 위해 사용될 수 있다. 일 예에서, 희석 가스는 질소일 수 있다. 질소는 실리콘 질화물의 증착에서 질소-함유 가스 종들의 소스 및 플라즈마를 생성하고 유지하기 위한 에너자이징된 분자들의 소스 모두로서 역할을 한다. 질소에 대한 적절한 유량은 약 5000 내지 약 25,000sccm(예를 들면, 약 8000 내지 약 12,000sccm)이다.
일 실시예에서, 유전체 증착 가스는 실란, 암모니아, 및 질소의 혼합물을 포함한다. 유리하게, 유전체 증착 가스의 이러한 조성물은 증착된 층에 더 높은 실리콘 대 질소 비율들을 제공하는데, 이는 약 1.8 내지 약 2.0 또는 심지어 약 1.88 내지 약 1.98의 더 높은 굴절률들을 제공한다. 바람직한 버전에서, 유전체 증착 가스는 SiH4:NH3:N2의 부피비(volumetric ratio)가 약 1:1:8 내지 약 2:1:20인 실란, 암모니아, 및 질소의 혼합물을 포함한다. 이들 비율들에서, 유전체 증착 가스는 더 낮은 점착 계수들을 초래하는 플라즈마에서 더 높은 아민 종들 때문에 더 컨포멀한 커버리지를 제공하는 것으로 발견되었다. 유전체 증착 가스는 기판(22) 상에 물질을 증착하기 위해 프로세스 가스 종들을 활성화하도록 프로세스 구역(42) 또는 원격 구역(미도시)에서 에너자이징된다. 일 버전에서, 유전체 증착 가스는 RF 에너지를 프로세스 구역(42) 부근에 있는 프로세스 전극들(44,b)에 결합함으로써, 프로세스 구역(42)에서 플라즈마를 형성하기 위해 에너자이징된다. 플라즈마를 생성하기 위해, 전극 전력 레벨은 전형적으로 약 500 내지 약 1600와트 또는 심지어 약 800 내지 약 1500 와트로 유지된다. 적절한 전극 간격은 약 5 ㎜(200 mils) 내지 약 20 ㎜(800 mils)이다.
본 증착 프로세스는 프로세스 구역(42) 안으로 도입되는 유전체 증착 가스의 압력을 제어함으로써 종래의 프로세스들에 비하여 적어도 약 100 ℃ 만큼 더 낮은 온도들에서 증착을 허용한다. 저압의 증착 가스는 증착된 패시베이션 층(20)에서 특정 종들의 농도를 증가시키기 위해 - 예를 들면, 실리콘 질화물을 포함하는 패시베이션 층(20)에서 질소의 농도를 증가시키기 위해 바람직하다. 추가적으로, 낮은 증착 압력들에서 이온 충격 컴포넌트를 증가시키는 것은 밀도가 더 높은 패시베이션 층(20)을 생성한다. 유전체 증착 가스의 적절한 압력은 약 1.5 Torr 내지 약 6 Torr, 심지어 약 2 내지 약 4 Torr이다.
유전체 증착 스테이지의 일 예에서, 실리콘 질화물로 구성된 유전체 층(25)은 플립 칩 기판 상의 커넥터 범프들과 같은 피쳐들(24) 위에 증착되었다. 커넥터 범프들은 약 0.2 내지 약 10의 종횡비들을 갖는 고 종횡비 피쳐들(26)이었다. 증착 프로세스에서, 기판(22)은 180 ℃의 온도로 유지되었다. 820 sccm의 유량의 실란, 590 sccm의 유량의 암모니아, 및 10 L/분의 유량의 질소를 포함하는 유전체 증착 가스가 프로세스 구역(42) 안으로 도입되었다. 유전체 증착 가스는 3.5 Torr의 압력으로 유지되었다. RF 전력은 11 ㎜(450 mils)의 간격으로 유지되는 프로세스 전극들(44a,b)에 1000의 전력 레벨로 인가되었다.
유전체 증착 스테이지의 다른 예에서, 실리콘 질화물을 포함하는 유전체 층(25)은 커넥터 범프들이었던 피쳐들(24) 위에 증착되었다. 증착 프로세스에서, 기판(22)은 400 ℃의 온도로 유지되었고, 유전체 증착 가스는 4.2 Torr의 압력으로 유지되었다. 나머지는 예 1에서와 같은 조건을 갖는다. 실리콘 질화물을 포함하는 증착된 패시베이션 층(20)은 1000 옹스트롬 또는 심지어 500 옹스트롬 보다 작은 두께를 가질 수 있다. 유리하게, 얇은 실리콘 층들은 고 종횡비 피쳐들(26)을 포함하는 아래에 놓인 피쳐들(24)의 더 컨포멀한 커버리지를 제공한다.
실리콘 질화물의 유전체 층(25)의 증착 후에, 증착된 패시베이션 층(20)은 플라즈마 처리 스테이지에서 추가적으로 처리된다. 이러한 처리 스테이지에서, 처리 가스는 프로세스 구역(42) 안으로 도입된다. 처리 가스는 불활성 가스와 같은 비-반응성 가스일 수 있다. 적절한 불활성 가스들은 헬륨 또는 아르곤을 포함한다. 이러한 또는 다른 불활성 가스들에 대한 적절한 유량은 약 2,000 sccm 내지 약 20,000 sccm이다. 예를 들면, 적절한 비-반응성 가스들은 암모니아, 질소, 또는 이들의 혼합물들과 같은 질소-함유 가스들을 포함한다. 일 버전에서, 비-반응성 가스는 암모니아 또는 질소, 또는 이들의 혼합물들을 포함한다. 비-반응성 가스에 대한 적절한 유체는 약 2,000 sccm 내지 약 20,000 sccm이다. 예를 들면, 약 5,000 sccm 내지 약 15,000 sccm의 유량의 암모니아 및 질소를 포함하는 처리 가스는 챔버(40) 안으로 도입되고, 3.5 Torr의 압력으로 유지될 수 있다. 미리 선택된 처리 가스는 프로세스 챔버(40)에서 프로세스 전극들(44a,b) 사이에 에너지를 결합시킴으로써, 증착된 실리콘 질화물 층을 처리하도록 플라즈마를 형성하기 위해 에너자이징된다. 프로세스 전극들(44a,b)은 약 75 내지 약 1,600 와트의 전력 레벨로 RF 에너지를 전극들에 결합시킴으로써, 에너자이징될 수 있다. 처리 프로세스에서, 수소 원자들은 증착된 패시베이션 층(20)으로부터 배격(drive out)된다고 생각된다. 증착된 층(20)에서의 수소의 존재는 수분 때문에 바람직하지 않다. 따라서, 증착된 패시베이션 층(20)을 처리함으로써 수소를 제거하는 것 및 질소-함유 가스를 포함하는 처리 가스는 막을 치밀화하도록 역할을 한다.
증착 및 처리 프로세스들은 결함 형성, 특히, 유전체 층(25)이 고 종횡비 피쳐들(26) 상에 증착되는 경우에 대한 증착된 패시베이션 층(20)의 회복력을 향상시키기 위해 복수의 사이클들로 수행된다. 멀티-사이클 프로세스에서, 증착 및 처리 스테이지들은 각각 복수회 수행된다. 복수의 사이클 프로세스는 고 종횡비 피쳐들(26)의 하부 모서리들(30)에서 시임들과 같은 결함들의 형성을 방지하기 위해, 증착된 패시베이션 층(20)의 능력을 향상시킨다. 멀티-사이클 프로세스에서, 유전체 증착 가스의 프로세스 구역(42) 안으로의 유동은 중지되거나 또는 변경되고, 처리 가스의 유동은 처리 가스의 조성물을 달성하기 위해 유전체 증착 가스의 조성물을 변경함으로써 개시되거나 또는 시작된다. 예를 들면, 처리 가스는 상술한 암모니아 및 질소, 또는 이들의 혼합물들과 같은 그리고 실리콘-함유 가스가 존재하지 않는 질소-함유 가스를 포함할 수 있다. 처리 스테이지는 유전체 증착 가스를 처리 가스로 변환하기 위해 질소-함유 가스의 유동을 계속하면서 유전체 증착 가스의 실리콘-함유 가스의 유동을 단순히 중지시킴으로써, 암모니아 및 질소의 유동을 계속하면서 실란의 유동을 중지시킴으로써, 그리고 플라즈마를 형성하기 위해 암모니아 및 질소를 에너자이징함으로써, 수행된다. 이러한 버전은 막을 치밀화하기 위해 유리하게 이용된다.
또한, 증착된 패시베이션 층(20)의 굴절률(n)(실리콘 질화물 층의 굴절률과 같음)은 하부 모서리들(30)의 증착된 층 및 피쳐들, 특히 고 종횡비 피쳐들(26)의 다른 기하형상적인 전이 구역들의 결함들(11)의 레벨에 영향을 미쳤음이 발견되었다. 굴절률은 증착된 패시베이션 층(20)에서 질소의 양의 측정의 역수라고 생각된다. 질소가 풍부한 패시베이션 층(20)은 더 낮은 Si-H 함유량을 제공하는데, 이는 차례로 안정한 막을 제공한다, 실리콘 질화물을 포함하는 바람직한 패시베이션 층(20)은 1.88 또는 심지어 1.92보다 더 높은 굴절률을 갖는다고 추가적으로 결정되었다. 일 예에서, 굴절률은 이전에 설명된 KLA-Tencor 막 측정 장치를 이용하여 633 ㎚의 파장에서 타원편광 반사법을 이용하여 측정되었다. 따라서, 일 버전에서, 프로세스 조건들은 또한 633 ㎚의 파장에서 타원편광 반사법을 이용하여 측정한 경우 1.88보다 작은 굴절률(n)을 갖는 실리콘 질화물 층을 증착하도록 설정될 수 있다.
굴절률 제어 프로세스의 제 1 예에서, 1.88보다 작은 바람직한 굴절률을 획득하기 위한 적절한 프로세스 조건들은 다음과 같았다: (1) 180 ℃의 기판 온도, (2) 820 sccm의 유량의 실란, 590 sccm의 유량의 암모니아, 및 8000 sccm의 유량의 질소를 포함하는 가스 조성물, (3) 2.2 Torr의 챔버 가스 압력, 및 (4) 1080 와트의 전극 전력 레벨 및 640 mils(16.3 ㎜)의 전극 간격. 제 2 예에서, 모든 프로세스 조건들은, 실란의 유량이 820 sccm에서 유지되었고 암모니아의 유량이 590 sccm에서 유지되었음을 제외하면, 제 1 예와 같았다.
또한, 열 산화물을 식각하는 습식 식각 속도에 대한 실리콘 질화물의 증착된 패시베이션 층(20)의 습식 식각 속도 비율(WERR)은 증착된 층에서 결함들(11)의 레벨에 영향을 주었음이 발견되었다. 열 산화물은 열 프로세스에 의해 증착되는, 예를 들면, 수직 또는 수평 확산 로(furnace)에서, 또는 800 ℃ 내지 1200 ℃의 고온들에서의 급속 열 프로세서에서 성장되는 실리콘 이산화물이다. WERR은 0.3 내지 약 5.2로 결정되었다. 이는 하부 모서리들(30) 및 피쳐들, 특히 고 종횡비 피쳐들(26)의 다른 기하형상적 전이 구역들에서 완전성(integrity)에 영향을 주었다. 따라서, 증착 프로세스 조건들은 5.2보다 작은 열 산화물에 대한 패시베이션 층(20)을 식각하는 습식 식각 속도 비율(WERR)을 갖는 실리콘 질화물 층을 증착하도록 설정되었다.
또 다른 방법에서, 고 종횡비 피쳐들(26)과 같은 피쳐들(24) 위에 증착되는 패시베이션 층(20)에서의 결함들(11)의 수는 층의 두께에 걸쳐 응력 변화도를 갖는 패시베이션 층(20)을 증착시킴으로써 감소된다. 예를 들면, 응력 변화도를 갖는 실리콘 질화물을 포함하는 패시베이션 층(20)은 증착 프로세스 동안 하나 또는 그 초과의 가스들의 유량을 제어함으로써 증착될 수 있다. 이러한 버전에서, 증착된 패시베이션 층(20)은 층에서의 질소에 대한 실리콘의 비율에서 점진적인 변화들, 또는 계단식 변화들을 갖는 실리콘 질화물을 포함한다. 패시베이션 층(20)은 층(20)의 두께에 걸쳐, 질소에 대한 실리콘의 적어도 제 1 및 제 2 비율을 포함한다. 이는 증착 프로세스 동안 고 유량으로부터 저 유량으로의 제 1 가스 변화를 갖도록 유전체 증착 가스의 조성물을 변화시킴으로써 이루어진다. 예를 들면, 실리콘 질화물은 실란(SiH4)을 포함하는 실리콘-함유 가스 컴포넌트, 암모니아(NH3)를 포함하는 질소-가스 컴포넌트, 및 질소(N2)를 포함하는 희석 가스 컴포넌트를 포함하는 프로세스 가스를 이용하여 증착될 수 있다. 처음에, 질소-함유 컴포넌트에 대한 실리콘-함유 컴포넌트의 제 1 비율을 포함하는 유전체 증착 가스가 사용되고, 프로세스 가스의 플라즈마가 프로세스 구역에 생성된다. 그 후에, 질소-함유 컴포넌트에 대한 실리콘-함유 컴포넌트의 제 2 비율을 포함하는 처리 가스가 사용되며, 프로세스 가스의 플라즈마가 프로세스 구역에서 생성된다. 질소-함유 컴포넌트에 대한 실리콘-함유 컴포넌트의 제 1 비율은 약 100:1보다 작고, 질소-함유 컴포넌트에 대한 실리콘-함유 컴포넌트의 제 2 비율 전력 레벨은 적어도 약 1이다. 예를 들면, 암모니아에 대한 실란의 비율은 증착 프로세스 동안 약 1:1 내지 약 6:1이 되도록 변화될 수 있다.
다른 버전에서, 응력 변화도를 갖는 실리콘 질화물을 포함하는 패시베이션 층(20)은 기판(22) 부근의 한 쌍의 프로세스 전극들(44a,b)에 인가되는 RF 전력을 제어함으로써 증착될 수 있다. 이러한 프로세스에서, 프로세스 가스는 프로세스 구역(42) 안으로 도입되고, 본 명세서에서 설명된 바와 같이, 프로세스 가스는 질소-함유 컴포넌트에 대한 실리콘-함유 컴포넌트를 포함한다. 프로세스 구역(42)에서의 프로세스 가스의 플라즈마는 프로세스 구역(42) 부근의 전극들에 제 1 전력 레벨로 에너지를 인가함으로써 생성된다. 그 후에, 질소에 대한 실리콘의 제 2 비율을 갖는 실리콘 질화물은 전극들(44a,b)에 인가되는 에너지를 제 2 전력 레벨로 변화시킴으로써 증착된다. 일 버전에서, 제 1 전력 레벨은 제 2 전력 레벨보다 최소 약 100 와트 더 높다. 예를 들면, 제 1 전력 레벨은 약 200 와트 미만을 포함할 수 있고, 제 2 전력 레벨은 적어도 약 500 와트를 포함한다. 이러한 프로세스에서, 기판(22) 상에 증착되는 실리콘 질화물에서의 질소에 대한 실리콘의 비율은 증착된 층의 응력에 영향을 준다.
다른 버전에서, 실리콘 질화물을 포함하는 패시베이션 층(20)은, 각각의 층에 대해 응력의 점진적인 또는 계단식 증가를 갖는 층을 제공하기 위해 상이한 응력 레벨을 각각 갖는 복수의 불연속적인 실리콘 질화물 서브-층들을 포함한다. 예를 들면, 패시베이션 층(20)의 응력은 제 1 응력으로부터, 제 1 응력보다 적어도 100 ㎫ 더 낮은 제 2 응력으로 증가될 수 있다. 제 1 응력은 약 600 내지 약 1000 ㎫일 수 있고, 제 2 압력은 약 500 내지 약 900 ㎫일 수 있다. 일 버전에서, 제 1 압력은 800 ㎫이고, 제 2 압력은 700 ㎫이다. 프로세스 구역(42) 내에서 가스 압력에서의 변화는 프로세스 구역(42)에서 형성된 플라즈마의 밀도를 변화시킨다. 밀도가 더 높은(denser) 플라즈마는 특정 부피의 한정된 공간 내에서 더 큰 수의 가스 이온들 및 종들을 갖는 플라즈마이다. 밀도가 더 높은 플라즈마는 밀도가 더 적은 플라즈마로부터 증착되는 패시베이션 층(20)보다 밀도가 더 높은 패시베이션 층(20)을 증착한다. 밀도가 더 높은 패시베이션 층(20)은 더 높은 응력 레벨을 갖고, 따라서, 결과적인 패시베이션 층(20)은 상이한 밀도들을 갖는 상이한 층들을 갖는 다층 구조체를 포함한다.
상술한 버전들에서, 증착된 패시베이션 층(20)은 질소에 대한 실리콘의 비율이 층의 두께에 걸쳐 변하는 조성물의 변화도를 갖는 실리콘 질화물 층을 포함한다. 예를 들면, 패시베이션 층(20)은 질소에 대한 실리콘의 비율이 두께에 걸쳐 적어도 약 40% 만큼 변하는 조성물의 변화도를 가질 수 있다. 실리콘 질화물 층은 또한 질소에 대한 실리콘의 비율이 두께에 걸쳐 약 0.4 내지 약 1.5 만큼 변하는 조성물의 변화도를 가질 수 있다.
더 추가적인 버전에서, 패시베이션 층(20)은 순차적으로 실리콘 질화물 층을 증착하고 증착된 실리콘 질화물 층을 식각 제거(etching away)함으로써 증착된다. 예를 들면, 이러한 프로세스는 피쳐들(24)의 하부 모서리들(30)에서 요각 프로파일을 변화시키기 위해 증착된 층의 일부를 식각 제거할 수 있다. 이러한 버전에서, 증착 및 동시 식각 프로세스는 기판(22)을 프로세스 챔버(40)의 프로세스 구역(42)에 위치시킴으로써 수행되고, 패시베이션 층(20)을 특정 두께로 증착한 후, 원격 플라즈마에서의 플루오르 기반 화학 물질들(chemicals)과 같은 에너자이징된 식각 가스들을 도입하고, 따라서, 구리 범프들의 측벽 및 하부는 하부 모서리에서 요각 프로파일을 변화시키기 위해 부분적으로 식각될 수 있다. 증착 및 식각 프로세스들은 하부 모서리에서 요각 프로파일을 둥근 프로파일로 변경하기 위해 복수의 사이클들로 수행된다; 따라서, 패시베이션 층(20)은 결함들 없이 증착될 수 있다.
상술한 프로세스들을 수행하기 위해 사용될 수 있는 기판 프로세싱 챔버(40)의 실시예는 도 4에 도시된다. 챔버(40)는 예시적인 챔버를 예시하기 위해 제공된다; 그러나, 당업자에게 명백할 바와 같이, 다른 챔버들이 또한 사용될 수 있다. 따라서, 본 발명의 범위는 본 명세서에서 설명되는 예시적인 챔버에 제한되지 않아야 한다. 일반적으로, 프로세스 챔버(40)는 (실리콘 페이퍼와 같은) 기판(22)을 프로세싱하기 위해 적절한 플라즈마-강화 화학 기상 증착(PE-CVD) 챔버이고, 적절한 챔버는 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼스사의 Producer® SE 타입 챔버이다. 챔버(40)는 실링(52), 측벽들(54), 및 하부벽(56)을 포함하며, 프로세스 구역(42)을 둘러싸는 인클로져 벽들(enclosure walls)(48)을 포함한다. 챔버(40)는 또한 프로세스 구역(42) 부근에서 인클로져 벽들(48)의 적어도 일부를 라이닝하는 라이너(미도시)를 포함할 수 있다. 300 ㎜ 실리콘 웨이퍼를 프로세싱하기 위하여, 챔버(40)는 전형적으로 약 20,000 내지 약 30,000 ㎤의 체적을 가지며, 보다 전형적으로는 약 24,000 ㎤의 체적을 갖는다.
프로세스 사이클 동안, 기판 지지부(58)는 하강되며, 기판(22)은 로봇 암과 같은 기판 이송부(64)에 의해 유입부(62)를 통해 통과되고, 기판 지지부(58) 상에 배치된다. 기판 지지부(58)는 로딩 및 언로딩하기 위한 하부 위치와, 기판(22)을 프로세싱하기 위한 조정가능한 상부 위치 사이에서 이동될 수 있다. 기판 지지부(58)는 챔버(40) 안으로 도입된 프로세스 가스로부터 플라즈마를 생성하기 위해, 밀봉된(enclosed) 전극(44a)을 포함할 수 있다. 기판 지지부(58)는 전기적으로 저항성인 가열 부재(도시), 가열 램프(미도시), 또는 플라즈마 그 자체일 수 있는 히터(68)에 의해 가열될 수 있다. 기판 지지부(58)는 전형적으로, 기판(22)을 수용하기 위한 수용면을 갖고, 챔버 환경으로부터 전극(44a)과 히터(68)를 보호하는 세라믹 구조체를 포함한다. 사용시에, 무선 주파수(RF) 전압이 전극(44a)에 인가되며 직류(DC) 전압이 히터(68)에 인가된다. 기판 지지부(58)에서의 전극(44a)은 또한 기판(22)을 지지부(58)에 정전기적으로 클램핑하기 위해 사용될 수 있다. 기판 지지부(58)는 또한 기판 지지부(58) 상의 기판(22)의 주변을 적어도 부분적으로 둘러싸는 하나 또는 그 초과의 링들(미도시)을 포함할 수 있다.
기판(22)이 기판 지지부(58) 상에 로딩된 후, 지지부(58)는 가스 분배기(72)에 보다 가까운 프로세싱 위치로 상승되어 이들 사이에 원하는 이격 갭 거리(ds)를 제공한다. 이격 거리는 약 2 ㎜ 내지 약 12 ㎜일 수 있다. 가스 분배기(72)는 기판(22)에 걸쳐 프로세스 가스를 균일하게 분산시키기 위하여 프로세스 구역(42) 위에 위치된다. 가스 분배기(72)는 제 1 및 제 2 프로세스 가스들 또는 본 명세서에서 설명된 프로세스 가스들 중 임의의 가스를 형성하는 가스들의 혼합물들 또는 개별 스트림들에서의 증착 가스 및 처리 가스의 2개의 독립적인 스트림들을, 프로세스 구역(42)으로의 가스 스트림들의 도입 전에 가스 스트림들을 혼합하지 않으면서, 프로세스 구역(42)으로 개별적으로 전달할 수 있다. 대안적으로, 가스 분배기는 미리 혼합된 프로세스 가스를 프로세스 구역(42)에 제공하기 전에, 프로세스 가스를 미리 혼합할 수 있다. 가스 분배기(72)는 프로세스 가스의 통과를 허용하는 홀들(76)을 갖는 면판(74)을 포함한다. 면판(74)은 면판으로의 전압 또는 전위의 인가를 허용하도록 전형적으로 금속으로 제조되며, 이에 의해, 챔버(40)에서 전극(44a)으로 역할을 한다. 적절한 면판(74)은 양극 산화처리된(anodized) 코팅을 갖는 알루미늄으로 제조될 수 있다.
기판 프로세싱 챔버(40)는 또한 가스 분배기(72)에 프로세스 가스들을 전달하기 위한 제 1 및 제 2 가스 공급부들(80a,b)을 포함하며, 가스 공급부들(80a,b) 각각은 가스 소스(80a,b), 하나 또는 그 초과의 가스 도관들(84a,b), 및 하나 또는 그 초과의 가스 밸브들(86a,b)을 포함한다. 일 버전에서, 제 1 가스 공급부(80a)는 가스 소스(82a)로부터 가스 분배기(72)의 제 1 유입부(78a)로 유전체 증착 가스를 전달하기 위한 제 1 가스 도관(84a) 및 제 1 가스 밸브(86a)를 포함하고, 제 2 가스 공급부(80b)는 제 2 가스 소스(82b)로부터 가스 분배기(72)의 제 2 유입부(78b)로 처리 가스를 전달하기 위한 제 2 가스 도관(84b) 및 제 2 가스 밸브(86b)를 포함한다.
프로세스 가스는 프로세스 가스로부터 플라즈마를 형성하기 위하여 전자기 에너지, 예를 들면, 고주파 전압 에너지를 프로세스 가스에 결합함으로써 에너자이징될 수 있다. 유전체 증착 가스를 에너자이징하기 위해, 전압은 (ⅰ) 가스 분배기(72), 실링(52) 또는 챔버 측벽(54)일 수 있는 제 1 전극(44a)과, (ⅱ) 지지부(58)에서의 전극(44b) 사이에 인가된다. 한 쌍의 전극들(44a,b) 양단에 인가되는 전압은 프로세스 구역(42)에서의 프로세스 가스에 에너지를 용량 결합한다. 전형적으로, 전극들(44a,b)에 인가된 전압은 무선 주파수로 진동하는 교류 전압이다. 일반적으로, 무선 주파수들은 약 3 ㎑ 내지 약 300 ㎓의 범위를 포함한다. 본 출원의 목적들을 위하여, 낮은 무선 주파수들은 약 1 ㎒보다 작은 주파수들이고, 더욱 바람직하게는 약 100 ㎑ 내지 1 ㎒(예를 들면, 약 300 ㎑)의 주파수들이다. 또한, 본 출원의 목적들을 위하여, 높은 무선 주파수들은 약 3 ㎒ 내지 약 60 ㎒의 주파수들이며, 더욱 바람직하게는 약 13.56 ㎒의 주파수들이다. 선택된 무선 주파수 전압이 약 10 W 내지 약 1000 W의 전력 레벨로 제 1 전극(44a)에 인가되며, 제 2 전극(44b)은 전형적으로 접지된다. 그러나, 사용되는 특정 무선 주파수 범위, 및 인가된 전압의 전력 레벨은 증착될 물질의 타입에 의존한다.
챔버(40)는 또한, 소비된 프로세스 가스 및 챔버(40)로부터의 부산물을 제거하고 프로세스 가스의 미리 결정된 압력을 프로세스 구역(42)에서 유지하기 위한 가스 배출구(90)를 포함한다. 일 버전에서, 가스 배출구(90)는 소비된 프로세스 가스를 프로세스 구역(42)으로부터 수용하는 펌핑 채널(92), 배기 포트(94), 스로틀 밸브(96), 및 챔버(40)에서의 프로세스 가스의 압력을 제어하기 위한 하나 또는 그 초과의 배기 펌프들(98)을 포함한다. 배기 펌프들(98)은 터보-분자 펌프, 극저온 펌프(cryogenic pump), 러핑 펌프(roughing pump), 및 하나보다 많은 기능을 갖는 조합-기능 펌프 중 하나 또는 그 초과를 포함할 수 있다. 챔버(40)는 또한 챔버(40) 안으로 퍼징(purging) 가스를 전달하기 위해 챔버(40)의 하부 벽(56)을 통한 유입 포트 또는 튜브(미도시)를 포함할 수 있다. 퍼징 가스는 전형적으로 유입 포트로부터 기판 지지부(58)를 통과하여 환형 펌핑 채널에 상방향으로 유동한다. 퍼징 가스는 프로세싱 동안 원치않은 증착으로부터 기판 지지부(58)의 표면들 및 다른 챔버 컴포넌트들을 보호하기 위해 사용된다. 퍼징 가스는 또한 바람직한 방식으로 프로세스 가스의 유동에 영향을 주기 위해 사용될 수 있다.
제어기(102)는 또한 챔버(40)의 동작 및 동작 파라미터들을 제어하기 위해 제공된다. 제어기(102)는, 예를 들면, 프로세서 및 메모리를 포함할 수 있다. 프로세서는 메모리에 저장된 컴퓨터 프로그램과 같은 챔버 제어 소프트웨어를 실행시킨다. 메모리는 하드디스크 드라이브, 판독-전용 메모리, 플래시 메모리, 또는 다른 타입들의 메모리일 수 있다. 제어기(102)는 또한 플로피 디스크 드라이브 및 카드 랙(card rack)과 같은 다른 컴포넌트들을 포함할 수 있다. 카드 랙은 단일-보드 컴퓨터, 아날로그 및 디지털 입/출력 보드들, 인터페이스 보드들, 및 스텝퍼 모터 제어기 보드들을 포함할 수 있다. 챔버 제어 소프트웨어는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 마이크로파 전력 레벨들, 고주파 전력 레벨들, 지지 위치, 및 특정 프로세스의 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다.
챔버(40)는 또한 예를 들면, 기판 지지부(58)에서의 제 1 전극(44a) 및 챔버(40)에서의 제 2 전극(44b)과 같은 다양한 챔버 컴포넌트들에 전력을 전달하기 위한 전력 공급원(104)을 포함한다. 전력을 프로세스 전극들(44a,b)에 전달하기 위해, 전력 공급원(104)은 선택된 무선 주파수들 및 원하는 선택가능한 전력 레벨들을 갖는 전압을 제공하는 무선 주파수 전압원을 포함한다. 전력 공급원(104)은 단일 무선 주파수 전압원, 또는 높은 및 낮은 무선 주파수들 모두를 제공하는 복수의 전압원들을 포함할 수 있다. 전력 공급원(104)은 또한 RF 정합 회로를 포함할 수 있다. 전력 공급원(104)은 기판 지지부(58)에서의 전극, 종종 정전 척(chuck)에 정전하를 제공하기 위한 정전하 충전 소스를 더 포함할 수 있다. 히터(68)가 기판 지지부(58) 내에서 사용되는 경우, 전력 공급원(104)은 또한 적절한 제어가능한 전압을 히터(68)에 제공하는 히터 전력원을 포함한다. DC 바이어스가 가스 분배기(72) 또는 기판 지지부(58)에 인가될 경우, 전력 공급원(104)은 또한 가스 분배기(72)의 면판(74)의 도전 금속 부분에 연결되는 DC 바이어스 전압원을 포함한다. 전력 공급원(104)은 또한 다른 챔버 컴포넌트들, 예를 들면, 챔버(40)의 모터들 및 로봇들을 위한 전력원을 포함할 수 있다.
기판 프로세싱 챔버(40)는 또한 챔버 내에서 컴포넌트 표면들 또는 기판(22) 표면들과 같은 표면들의 온도를 검출하기 위해 열전쌍(thermocouple) 또는 간섭계(interferometer)와 같은 온도 센서(미도시)를 포함한다. 온도 센서는 그의 데이터를 챔버 제어기(102)로 중계할 수 있고, 상기 챔버 제어기(102)는 그 후, 기판 지지부(58)에서의 저항성 가열 엘리먼트를 제어함으로써 프로세싱 챔버(40)의 온도를 제어하기 위해 온도 데이터를 이용할 수 있다.
아래에 설명된 방법들에 의해 증착된 유전체 층(25), 접착 층(27), 및 컨포멀 라이너(29) 중 하나 또는 그 초과를 포함하는 패시베이션 층(20)은 종래의 증착 방법들에서 하부 모서리들(30)에서 발생하였던 시임들과 같은 결함들이 실질적으로 없는 것이 발견되었다. 추가적으로, 패시베이션 층(20)은, 도 2a에 도시된 바와 같이, 상호연결부(13)와 같은 복합-형상의 고 종횡비 피쳐들(26) 위에 연속적인 그리고 컨포멀한 코팅으로서 증착되었다. 유사하게, 커넥터 범프들(14) 상에 증착된 패시베이션 층(20)은 또한, 도 2b에 도시된 바와 같이, 커넥터 범프(14)의 원형의 노출된 표면(28)에 걸쳐 균일한 두께를 갖는 평탄하고 연속적인 층을 형성했다. 추가적으로, 커넥터 범프들(14)의 기저 주변의 하부 모서리들(30)은 임의의 크랙들 또는 시임들(16)을 갖지 않는다. 커넥터 범프들(14) 및 실리콘 비아들(18)을 포함하는 고 종횡비 피쳐들(26) 상에 증착된 패시베이션 층(20)의 또 다른 예는 도 2c에 도시된다. 다시, 채워진(filled) 실리콘 비아(18) 위에 놓이는 커넥터 범프(14)의 계면에서의 하부 모서리들(30)에서 크랙 결함들이 없었음이 발견되었다.
종래의 증착 프로세스들에서 형성된 결함들은 피쳐들(24)의 열 팽창들에 의해 초래되는 이들 영역들에서의 열 응력들로 인해 발생한다고 생각된다. 고 종횡비 피쳐들(26)은 높이 방향에서 큰 치수 변화를 갖고, 추가적으로, 피쳐들(24)의 더 작은 폭들에 비하여 더 큰 높이들 사이의 치수 변화에서 상당한 차이가 있다. 그들의 현재 방법들에 의해 증착된 패시베이션 층(20)은 그것의 굴절률 및 WERR 비율들에서 반영되는 막의 더 높은 밀도 때문에 결함들 없이 컨포멀한 코팅을 제공했다고 추가적으로 생각된다. 더 추가적으로, 증착된 패시베이션 층들(20)은 다양한 소킹, 증착 및 처리에서 생성된 가스 또는 플라즈마 종들의 저 점착 계수들, 및 컨포멀 라이너 증착 프로세스들 때문에, 심지어 상호연결부(13) 또는 커넥터 범프(14)와 같은 아래에 놓인 피쳐들(24)의 복잡한 기하형상 위에서도 컨포멀했다고 또한 생각된다.
다음 사진들은 피쳐들(24) 상에 증착된 실리콘 질화물의 유전체 층(25)을 포함하는 패시베이션 층들(20)의 결함들이 없음을 도시한다. 예를 들면, 도 5는 커넥터 범프(14)를 포함하는 피쳐들(24) 위에 증착된 실리콘 질화물의 유전체 층(25)을 포함하는 패시베이션 층(20)의 하부 모서리들(30)에서 시임들이 없음을 도시하는 주사형 전자 현미경 사진이다. 추가적으로, SEM 현미경 사진은 또한 아래에 놓인 피쳐(24)의 단면 프로파일의 윤곽을 밀접하게 따르는 패시베이션 층(20)의 평탄하고 컨포멀한 프로파일을 도시한다. 이러한 예에서, 패시베이션 층(20)은 400 ℃의 증착 온도에서 증착되었던 실리콘 질화물의 유전체 층(25)으로 구성된다. 추가적으로, 복수의 사이클 증착 및 처리 프로세스는 실리콘 질화물의 유전체 층(25)을 형성하기 위해 사용되었다. 이러한 예에서, 100 사이클들의 증착 플라즈마 처리는 패시베이션 층(20)을 생성하기 위해 수행되었다.
다른 예로서, 도 6은 구리 범프를 포함하는 고 종횡비 피쳐(26)의 위에 증착되는 실리콘 질화물로 구성되는 패시베이션 층(20)의 하부 모서리들(30)을 따라 시임들이 없음을 나타내는 주사형 전자 현미경 사진이다. 이러한 사진은 패시베이션 층(20)이 결함들 없이 고 종횡비 피쳐들(26) 상에 형성되었음을 추가적으로 도시한다. 이러한 예에서, 질화물을 포함하는 유전체 층(25)은 633 ㎚의 파장에서 타원편광 반사법을 이용하여 측정된 바와 같이, 1.88보다 높은 고 굴절률을 갖는 증착된 층을 제공하기 위해 180 ℃의 증착 온도에서 증착되었다.
또 다른 예에서, 도 7은 실리콘 질화물의 유전체 층(25)을 포함하는 패시베이션 층(20)과 함께 구리 범프를 포함하는 고 종횡비 피쳐(26)의 주사형 전자 현미경 사진을 도시한다. 실리콘 질화물 층은 180 ℃의 증착 온도에서 1000 Å의 두께를 갖는 실리콘 질화물의 컨포멀 라이너(29) 위에 증착되었다. SEM 현미경 사진은 또한 아래에 놓인 피쳐(24)의 단면 프로파일을 밀접하게 따르는 패시베이션 층(20)의 평탄하고 컨포멀한 프로파일을 도시한다.
따라서, 그들의 현재 방법들에 의해 증착된 패시베이션 층(20)은 심지어 피쳐들이 고 종횡비 피쳐들(26)인 경우에도 피쳐들(24)의 모서리들 및 가장자리들(edges)에서 실질적으로 결함들 없이 컨포멀한 코팅을 제공하였음이 관측된다. 더 추가적으로, 패시베이션 층(20) 뿐만 아니라 다른 층들의 실리콘 질화물 층들을 증착하기 위하여 플라즈마에서 형성된 가스 플라즈마 종들의 더 우수한 점착 계수들 때문에, 증착된 패시베이션 층(20)은, 뾰족하거나 요각 모서리들을 갖고 상호연결부(13) 또는 커넥터 범프(14)와 같은 아래에 놓인 피쳐들(24)의 기하형상 위에 컨포멀하였다.
본 발명의 예시적인 실시예들이 도시되고 설명되었지만, 당업자들은 본 발명을 포함하고 또한 본 발명의 범위 내에 있는 다른 실시예들을 창안할 수 있다. 게다가, 용어 "아래에 "위에 "하부", "상부", "위", "아래", "제 1" 및 "제 2", 및 다른 관계 또는 위치 용어들은 도면들의 예시적인 실시예들에 대하여 도시되며 상호 교환가능하다. 따라서, 첨부된 청구항들은 본 발명을 예시하기 위해 본 명세서에서 설명되는 바람직한 버전들, 물질들, 또는 공간적 배열들의 설명들에 제한되지 않아야 한다.

Claims (15)

  1. 기판의 피쳐들 상에 패시베이션 층을 형성하는 방법으로서,
    상기 패시베이션 층은 실리콘 질화물 층을 포함하고,
    상기 방법은,
    (a) 프로세스 구역에 복수의 피쳐들을 갖는 기판을 제공하는 단계;
    (b) 초기 소킹 스테이지에서, 상기 기판 상에 박막 실리콘 질화물 층을 증착하기 위해 상기 프로세스 구역에 소킹 가스를 제공하는 단계 ― 상기 소킹 가스는 실란, 암모니아, 및 질소를 포함하고, 상기 소킹 스테이지 동안 RF 에너지는 전극들에 인가되지 않음 ―;
    (c) 제 1 스테이지에서, 상기 프로세스 구역 안으로 실리콘-함유 가스 및 질소-함유 가스를 포함하는 유전체 증착 가스를 도입하는 단계, 및 상기 피쳐들 상에 실리콘 질화물 층을 증착하기 위해 상기 유전체 증착 가스를 에너자이징하는(energizing) 단계;
    (d) 제 2 스테이지에서, 상기 프로세스 구역 안으로 상기 유전체 증착 가스와는 상이한 조성물을 갖는 처리 가스를 도입하는 단계, 및 상기 실리콘 질화물 층을 처리하기 위해 상기 처리 가스를 에너자이징하는 단계; 및
    (e) 상기 제 1 및 제 2 스테이지들을 복수 회 수행하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 처리 가스는 질소-함유 가스를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 (d) 단계는 상기 질소-함유 가스의 유동을 계속하면서 상기 유전체 증착 가스의 상기 실리콘-함유 가스의 유동을 중지시킴으로써 상기 처리 가스를 형성하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘-함유 가스는 실란(silane)을 포함하고, 그리고 상기 질소-함유 가스는 암모니아 및 질소를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 유전체 증착 가스는 부피비 1:1:8 내지 2:1:20의 SiH4:NH3:N2를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 (c) 단계는 633㎚의 파장에서 타원편광 반사법(ellipsometry)을 이용하여 측정한 경우 1.88보다 작은 굴절률 n을 갖는 실리콘 질화물 층을 증착하기 위해 기판 온도, 상기 유전체 증착 가스의 가스들의 유량, 챔버 가스 압력, 전극 전력 레벨, 및 전극 간격 중 선택된 적어도 하나의 프로세스 조건을 설정하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 (c) 단계는, 5.2보다 작은, 열 산화물 층을 식각하는 것에 대한 실리콘 질화물의 패시베이션 층을 식각하는 것의 습식 식각 속도 비율(wet etch rate ration, WERR)을 갖는 실리콘 질화물 층을 증착하기 위해 기판 온도, 상기 유전체 증착 가스의 가스들의 유량, 챔버 가스 압력, 전극 전력 레벨, 및 전극 간격 중 선택된 적어도 하나의 프로세스 조건들을 설정하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  8. 제 1 항에 있어서,
    180℃ 내지 550℃의 온도에서 상기 기판을 유지하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  9. 제 1 항에 있어서,
    (ⅰ) 금속-함유 물질을 포함하는 상호연결부들 또는 커넥터 범프들;
    (ⅱ) 실리콘 비아들; 또는
    (ⅲ) 산화물 구조들
    중 임의의 하나를 포함하는 복수의 고 종횡비 피쳐들을 갖는 기판을 제공하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  10. 제 1 항에 있어서,
    (ⅰ) 수소-함유 가스를 포함하는 세정 가스를 상기 프로세스 구역 안으로 제공하는 단계, 및 (ⅱ) 상기 기판의 상기 피쳐들 상에 본래의(native) 산화물 막을 세정 제거(clean off)하는 수소-함유 종들을 포함하는 에너자이징된 세정 가스를 형성하기 위해 상기 세정 가스를 에너자이징하는 단계를 포함하는 초기 세정 스테이지를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 소킹 스테이지는, (ⅰ) 실란을 포함하는 소킹(soaking) 가스를 상기 프로세스 구역 안으로 제공하는 단계, 및 (ⅱ) 상기 기판의 상기 피쳐들 상에 접착 층을 증착하기 위해 100℃ 내지 240℃의 온도에서 상기 기판을 유지하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 패시베이션 층은 상기 피쳐들과 상기 실리콘 질화물 층 사이에 컨포멀 라이너(conformal liner)를 더 포함하고, 상기 방법은
    (1) 상기 프로세스 구역 안으로 (ⅰ) SiH4, NH3, 및 N2; (ⅱ) 트리실리아민, NH3 및 N2; (ⅲ) SiH4 또는 N2; 또는 (ⅳ) 트리실리아민 또는 N2 케미스트리(chemistry)를 포함하는 라이너 가스를 도입함으로써; 그리고
    (2) 상기 기판의 상기 피쳐들 상에 상기 컨포멀 라이너를 증착하도록 플라즈마를 형성하기 위해 상기 라이너 가스를 에너자이징함으로써 ― 상기 컨포멀 라이너는 100Å보다 큰 두께 및 적어도 100㎫의 인장 응력을 가짐 ― 상기 피쳐들 상에 상기 컨포멀 라이너를 증착하는 단계를 더 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  13. 제 12 항에 있어서,
    상기 컨포멀 라이너를 증착하는 단계는 층의 두께에 걸쳐 응력 변화도(gradient)를 갖는 실리콘 질화물 층을 포함하는 컨포멀 라이너를 증착하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  14. 제 12 항에 있어서,
    상기 컨포멀 라이너를 증착하는 단계는 증착 프로세스 동안 고 유량에서 저 유량으로 SiH4의 유량을 제어함으로써, 그리고 상기 프로세스 구역 부근의 한 쌍의 프로세스 전극들에 인가되는 RF 전력을 인가하는 속도를 변화시킴으로써, 컨포멀 라이너를 증착하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
  15. 제 12 항에 있어서,
    상기 컨포멀 라이너를 증착하는 단계는 순차적으로 실리콘 질화물 층을 증착함으로써 그리고 상기 증착된 실리콘 질화물 층을 부분적으로 식각 제거(etching away)함으로써 실리콘 질화물 층을 증착하는 단계를 포함하는,
    기판의 피쳐들 상에 패시베이션 층을 형성하는 방법.
KR1020127026640A 2010-03-15 2011-03-15 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층 KR101911469B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/724,396 US8563095B2 (en) 2010-03-15 2010-03-15 Silicon nitride passivation layer for covering high aspect ratio features
US12/724,396 2010-03-15
PCT/US2011/028531 WO2011115997A2 (en) 2010-03-15 2011-03-15 Silicon nitride passivation layer for covering high aspect ratio features

Publications (2)

Publication Number Publication Date
KR20130050918A KR20130050918A (ko) 2013-05-16
KR101911469B1 true KR101911469B1 (ko) 2019-01-04

Family

ID=44560395

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127026640A KR101911469B1 (ko) 2010-03-15 2011-03-15 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층

Country Status (6)

Country Link
US (1) US8563095B2 (ko)
JP (1) JP2013522913A (ko)
KR (1) KR101911469B1 (ko)
CN (1) CN102804350A (ko)
TW (1) TW201140720A (ko)
WO (1) WO2011115997A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160035991A (ko) * 2014-09-24 2016-04-01 램 리써치 코포레이션 Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102140719B1 (ko) * 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
KR102099445B1 (ko) 2012-06-29 2020-04-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
US9564398B2 (en) * 2013-03-12 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical direct pattern plating interconnect metallization and metal structure produced by the same
KR101576637B1 (ko) * 2014-07-15 2015-12-10 주식회사 유진테크 고종횡비를 가지는 오목부 상에 절연막을 증착하는 방법
KR102193180B1 (ko) * 2015-01-13 2020-12-21 삼성디스플레이 주식회사 박막 트랜지스터 표시판
JP6436531B2 (ja) * 2015-01-30 2018-12-12 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
US10115582B2 (en) 2015-06-05 2018-10-30 United Microelectronics Corporation Semiconductor device and method for manufacturing the same
US9728450B2 (en) 2015-06-25 2017-08-08 International Business Machines Corporation Insulating a via in a semiconductor substrate
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
KR102301585B1 (ko) * 2016-03-13 2021-09-10 어플라이드 머티어리얼스, 인코포레이티드 선택적 건식 에칭을 위한 방법들 및 장치
KR20180007386A (ko) * 2016-07-12 2018-01-23 메이플세미컨덕터(주) 고 신뢰성을 가지는 전력 반도체 보호막의 제조방법
US20180076026A1 (en) * 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6942188B2 (ja) * 2017-01-13 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低温窒化ケイ素膜のための方法及び装置
US11397038B1 (en) * 2017-07-18 2022-07-26 Seagate Technology Llc Coaxially pumped cooling station
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
JP6997000B2 (ja) * 2018-02-14 2022-01-17 Sppテクノロジーズ株式会社 シリコン窒化膜の製造方法及び製造装置
WO2019245702A1 (en) * 2018-06-19 2019-12-26 Applied Materials, Inc. Pulsed plasma deposition etch step coverage improvement
DE102018121897A1 (de) 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
US11972943B2 (en) * 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
CN112582276A (zh) * 2019-09-28 2021-03-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11699585B2 (en) * 2020-10-21 2023-07-11 Applied Materials, Inc. Methods of forming hardmasks
US11710631B2 (en) 2020-10-23 2023-07-25 Applied Materials, Inc. Tensile nitride deposition systems and methods
CN115497842B (zh) * 2022-11-17 2023-05-12 合肥新晶集成电路有限公司 半导体结构的制备方法及半导体结构

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060084236A1 (en) * 2002-05-29 2006-04-20 Infineon Technologies A G Plasma-enhanced chemical vapour deposition process for depositing silicon nitride or silicon oxynitride, process for producing one such layer arrangement, and layer arrangement

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4089992A (en) 1965-10-11 1978-05-16 International Business Machines Corporation Method for depositing continuous pinhole free silicon nitride films and products produced thereby
US4279947A (en) 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
US4395438A (en) 1980-09-08 1983-07-26 Amdahl Corporation Low pressure chemical vapor deposition of silicon nitride films
US4435898A (en) 1982-03-22 1984-03-13 International Business Machines Corporation Method for making a base etched transistor integrated circuit
US4549064A (en) 1983-04-05 1985-10-22 Fairchild Camera & Instrument Corp. Laser treatment of silicon nitride
US4717602A (en) 1984-03-12 1988-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layers
US4624736A (en) 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US4618541A (en) * 1984-12-21 1986-10-21 Advanced Micro Devices, Inc. Method of forming a silicon nitride film transparent to ultraviolet radiation and resulting article
US4665426A (en) * 1985-02-01 1987-05-12 Advanced Micro Devices, Inc. EPROM with ultraviolet radiation transparent silicon nitride passivation layer
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH01176067A (ja) 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
US4877651A (en) 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
TW214599B (ko) 1990-10-15 1993-10-11 Seiko Epson Corp
EP0673546B1 (de) 1992-12-11 1999-06-16 Heraeus Noblelight GmbH Verfahren zur herstellung von halbleiter- und isolierschichten
DE69333722T2 (de) 1993-05-31 2005-12-08 Stmicroelectronics S.R.L., Agrate Brianza Verfahren zur Verbesserung der Haftung zwischen Dielektrikschichten, an ihrer Grenzfläche, in der Herstellung von Halbleiterbauelementen
US5970384A (en) 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5851603A (en) 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6316820B1 (en) * 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US5962344A (en) * 1997-12-29 1999-10-05 Vanguard International Semiconductor Corporation Plasma treatment method for PECVD silicon nitride films for improved passivation layers on semiconductor metal interconnections
US5985771A (en) 1998-04-07 1999-11-16 Micron Technology, Inc. Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers
US6123862A (en) * 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
WO2000003425A1 (en) 1998-07-10 2000-01-20 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6046398A (en) * 1998-11-04 2000-04-04 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Micromachined thermoelectric sensors and arrays and process for producing
KR100310103B1 (ko) 1999-01-05 2001-10-17 윤종용 반도체 장치의 제조 방법
US6342456B1 (en) 1999-02-01 2002-01-29 Burlington Industries, Inc. Polypropylene outdoor fabric
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6436825B1 (en) * 2000-04-03 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of copper barrier layer formation
KR100366768B1 (ko) * 2000-04-19 2003-01-09 삼성전자 주식회사 배선의 접촉부 및 그의 제조 방법과 이를 포함하는 박막 트랜지스터 기판 및 그 제조 방법
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
FR2814279B1 (fr) 2000-09-15 2003-02-28 Alstom Substrat pour circuit electronique et module electronique utilisant un tel substrat
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US20040009680A1 (en) 2002-07-10 2004-01-15 Applied Materials, Inc. Seedless method of forming a silicon germanium layer on a gate dielectric layer
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
TW577125B (en) * 2002-10-25 2004-02-21 Nanya Technology Corp Method for forming a silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6942813B2 (en) 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7321155B2 (en) 2004-05-06 2008-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Offset spacer formation for strained channel CMOS transistor
US7528051B2 (en) 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20050266632A1 (en) 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7227205B2 (en) 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
TWI251349B (en) * 2004-11-22 2006-03-11 Au Optronics Corp Method of forming thin film transistor
US7405436B2 (en) 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7323391B2 (en) 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
KR20080008562A (ko) * 2006-07-20 2008-01-24 삼성전자주식회사 어레이 기판의 제조방법, 어레이 기판 및 이를 갖는표시장치
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20080060365A (ko) 2006-12-27 2008-07-02 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
JP2009124087A (ja) * 2007-11-19 2009-06-04 Oki Semiconductor Co Ltd 半導体装置の製造方法
US7843064B2 (en) * 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
KR100945438B1 (ko) * 2008-01-10 2010-03-05 주식회사루미지엔테크 반도체 기판과 이의 제조 방법 및 반도체 소자
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060084236A1 (en) * 2002-05-29 2006-04-20 Infineon Technologies A G Plasma-enhanced chemical vapour deposition process for depositing silicon nitride or silicon oxynitride, process for producing one such layer arrangement, and layer arrangement

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160035991A (ko) * 2014-09-24 2016-04-01 램 리써치 코포레이션 Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR102538780B1 (ko) 2014-09-24 2023-05-31 램 리써치 코포레이션 Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들

Also Published As

Publication number Publication date
US20110223765A1 (en) 2011-09-15
KR20130050918A (ko) 2013-05-16
TW201140720A (en) 2011-11-16
WO2011115997A3 (en) 2012-04-12
CN102804350A (zh) 2012-11-28
US8563095B2 (en) 2013-10-22
WO2011115997A2 (en) 2011-09-22
JP2013522913A (ja) 2013-06-13

Similar Documents

Publication Publication Date Title
KR101911469B1 (ko) 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
TWI769204B (zh) 用於遠程電漿程序之腔室調節方法
US20040018750A1 (en) Method for deposition of nitrogen doped silicon carbide films
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US7259079B2 (en) Methods for filling high aspect ratio trenches in semiconductor layers
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
KR20140014119A (ko) 실리콘 웨이퍼들 상에서의 스루-실리콘 비아들의 제조
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
KR20010098755A (ko) 산화 플라즈마를 사용하여 저유전체 상수(k)를 갖는박막을 증착시키는 방법
TW201417179A (zh) 低成本流動性介電質薄膜
JPH09172008A (ja) Sacvd酸化物膜とpecvd酸化物膜との間に良好な界面を形成する方法及び装置
JP4743470B2 (ja) 半導体基板上にCu層と接触する膜を形成するための方法
US9728480B2 (en) Passivation layer and method of making a passivation layer
TW201320187A (zh) 前處理和改善介電質覆蓋率
CN115244655A (zh) 站与站之间的背面弯曲补偿沉积的控制
KR100888186B1 (ko) 절연막 형성 방법
CN110998790B (en) Selective deposition of SiN on horizontal surfaces
JPH11186258A (ja) 半導体集積回路及びその製造方法並びにその製造装置
WO2024129962A1 (en) Low k dielectric gapfill
KR20230051429A (ko) 웨이퍼 레벨 패키징을 위한 웨이퍼 에지 증착
JPH07176613A (ja) 半導体装置の製造方法
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
CN110998790A (zh) 在水平表面上的选择性沉积SiN

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant