JP2013522913A - 高アスペクト比の特徴をカバーするための窒化ケイ素パッシベーション層 - Google Patents

高アスペクト比の特徴をカバーするための窒化ケイ素パッシベーション層 Download PDF

Info

Publication number
JP2013522913A
JP2013522913A JP2013500158A JP2013500158A JP2013522913A JP 2013522913 A JP2013522913 A JP 2013522913A JP 2013500158 A JP2013500158 A JP 2013500158A JP 2013500158 A JP2013500158 A JP 2013500158A JP 2013522913 A JP2013522913 A JP 2013522913A
Authority
JP
Japan
Prior art keywords
gas
silicon nitride
passivation layer
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013500158A
Other languages
English (en)
Inventor
ナーガラージャン ラージャゴーパーラン,
シンハイ ハン,
ライアン ヤマセ,
ジエ パク,
シャミク パテル,
トーマス ノワック,
チョンジャン ツイ,
メユール ナイク,
フンラク パク,
ラン ティン,
ボクホン キム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013522913A publication Critical patent/JP2013522913A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L24/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1181Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • H01L2224/11827Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1183Reworking, e.g. shaping
    • H01L2224/11831Reworking, e.g. shaping involving a chemical process, e.g. etching the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps
    • H01L2224/11901Methods of manufacturing bump connectors involving a specific sequence of method steps with repetition of the same manufacturing step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13562On the entire exposed surface of the core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • H01L2224/1358Plural coating layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • H01L2224/1358Plural coating layers being stacked
    • H01L2224/13583Three-layer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/13687Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L2224/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

基板の特徴の上に窒化ケイ素を含むパッシベーション層を形成する方法が記載される。堆積方法の第1のステージでは、シリコン含有ガスおよび窒素含有ガスを含む誘電体堆積ガスが処理ゾーン内に導入され、エネルギーを与えられて、窒化ケイ素層を堆積させる。第2のステージでは、誘電体堆積ガスの組成とは異なる組成を有する処置ガスが処理ゾーン内に導入され、エネルギーを与えられて、窒化ケイ素層を処置する。第1のステージおよび第2のステージは、複数回実施することができる。

Description

本発明の実施形態は、基板上に電子回路を製造するために使用される高アスペクト比の特徴上の、窒化ケイ素を含むパッシベーション層の形成に関する。
集積回路、ディスプレイ回路、メモリ回路、電源回路、光電池回路などの電子回路は、一層高密度に、かつより複雑になっている。これらの回路の特徴の寸法は、より小さくなり、基板にわたってより高い空中密度が可能になる。これらの特徴としては、コネクタバンプ、相互接続、半導体または酸化物の特徴、ゲート、電極、抵抗器、ビアおよびその他多数が挙げられる。そのような特徴のアスペクト比は、特徴の幅または水平寸法がより小さくなるにつれて増加する。なぜならば、特徴の垂直寸法は、同じ断面積を実現するためにより大きくならねばならないからである。アスペクト比は特徴の高さと幅の比であるが、特徴を保護するためまたは電気的に分離するために特徴がパッシベーション層によってカバーされるとき、アスペクト比は特に問題である。
例として、パッシベーション層10を使用して、図1Aおよび図1Bに示すように、特徴12をカバーして、特徴を他の材料でコーティングする前または期間に、特徴12の金属含有面の酸化を防止することができる。特徴12としては、相互接続13(図1A)およびコネクタバンプ14(図1B)が挙げられる。相互接続13を使用して、基板15上の能動デバイスおよび受動デバイスを接続する。例えば、コネクタバンプ14をフリップチップパッケージングで使用して、集積回路チップと外部環境の間の相互接続点としての機能を果たす。コネクタバンプ14は、ボンディングパッド上に形成され、ダイが上下逆に「フリップされた」回路となり、コネクタまたは回路板に直接ハンダ付けされることが可能になり、これによって、従来のワイヤボンドおよびホイルコネクタの時間および費用を節約する。相互接続13とコネクタバンプ14の両方は、パッシベーション層10によりカバーされる。
しかし、相互接続13またはコネクタバンプ14のアスペクト比が約0.2を超える値に増加すると、特徴12の周り、特に特徴の凹部の隅17に、連続し、共形で、かつ実質的に欠陥のないパッシベーション層10を堆積させることが、ますます困難になる。図1Aを参照すると、パッシベーション層10は、相互接続13の隅17でパッシベーション層10を開裂する、継目16などの欠陥11を成形する。コネクタバンプ14上のパッシベーション層10は、コネクタバンプ14の基部の周りの隅17にも継目16を形成する場合がある。
継目問題は、チップパッケージング、再分配層(RDL)、またはSi貫通電極(TSV)銅もしくはタングステンビアにおける、凹部の隅17の幾何学的要素によって悪化することが多い。例えば、図1Cに示すように、シリコンビア18など高アスペクト比の特徴12は、誘電体層19を貫通して形成される開孔を備えており、開孔は、電気的導電性材料で充填され、相互接続13など下にある特徴とコネクタバンプ14など上にある特徴の間の接続を形成する。シリコンビア18と上にあるコネクタバンプ14がパッシベーション層10でコーティングされると、パッシベーション層10の、コネクタバンプ14およびシリコンビア18との交点において形成される凹部の隅17で、継目16が発生することが多い。高アスペクト比の特徴12のさらに別の例は、パッシベーション層10でカバーされる酸化物構造(図示せず)を備える。酸化物構造としては、Si貫通電極中に形成される酸化物ライナ層、または基板の裏側におけるビア接続を顕示することを可能にする、Si貫通電極の銅ピラーの上部に形成される酸化物層など、二酸化ケイ素含有構造が挙げられる。この場合も、欠陥11が、そのような特徴12をカバーするパッシベーション層10内にできる。
複雑な形状寸法を有する、特にシャープエッジおよび鋭角を有する凹部の隅17を備える特徴12の領域におけるパッシベーション層10内の欠陥11は、マイクロクラック、ヘアラインクラック、およびさらに別のものなど、他の種類のものでもあり得る。しかし、これらの高アスペクト比を有する特徴12を形成し、これらの特徴の形状寸法および他の寸法を維持し、一方依然としてそのようなパッシベーション層10内に欠陥が生じることを防ぐ方法は明らかではない。
したがって、これらおよび他の欠損を含む様々な理由で、特徴の周りにパッシベーション層を堆積させる様々な方法の発達にもかかわらず、パッシベーション層の堆積のさらなる改善が、連続して捜し求められている。
基板の特徴の上に、窒化ケイ素層を含むパッシベーション層を形成する方法は、処理ゾーン内に複数の特徴を有する基板を準備することを含む。第1のステージでは、シリコン含有ガスおよび窒素含有ガスを含む誘電体堆積ガスが処理ゾーン内に導入され、エネルギーを与えられて、特徴の上に窒化ケイ素層を堆積させる。第2のステージでは、誘電体堆積ガスとは異なる組成を有する処置ガスが処理ゾーン内に導入され、エネルギーを与えられて、窒化ケイ素層を処置する。第1のステージおよび第2のステージは、複数回実施される。
方法は、水素含有ガスを含む洗浄ガスを処理ゾーン内に提供すること、および洗浄ガスにエネルギーを与えて、基板上の特徴上の自然酸化膜を取り除く水素含有核種を含む、エネルギーを与えられた洗浄ガスを形成することを含む最初の洗浄ステージを含むことができる。
さらに別のバージョンにおいて、最初のソーキングステージは、シランを含むソーキングガスを処理ゾーン内に提供すること、および基板を約100から約240℃の温度に維持して、基板の特徴上に接着層を堆積させることを含む。
さらに別のバージョンにおいて、方法は、特徴上に、約100Åより厚い厚さ、および少なくとも約100MPaの引張応力を有する、共形のライナを堆積させることを含む。共形のライナは、(1)処理ゾーン内に、(i)SiH、NHおよびN、(ii)トリシリルアミン、NHおよびN、(iii)SiHもしくはN、または(iv)トリシリルアミンもしくはN化学物質を含むライナガスを導入すること、ならびに(2)ライナガスにエネルギーを与え、プラズマを形成することによって堆積させることができる。
本発明のこれらの特徴、態様および利点は、本発明の例を説明する、以下の記載、添付の特許請求の範囲、および添付図面に関して、より良く理解されるであろう。しかし、特徴のそれぞれは、単に特定の図面の内容ではなく、本発明において一般に使用することができ、本発明はこれらの特徴の任意の組合せを含むことを理解されたい。
相互接続である高アスペクト比の特徴をカバーするパッシベーション層の隅における継目を示す、基板の概略断面図である。(従来技術) 上にあるパッシベーション層の隅における継目を有する、基板上のコネクタバンプの概略断面図である。(従来技術) ビアを備える高アスペクト比の特徴を有し、パッシベーション層の隅における継目を示す、基板の概略断面図である。(従来技術) 基板上に相互接続を備え、相互接続の、底部の凹部の隅において継目なく共形のコーティングを実現するパッシベーション層を示す、高アスペクト比の特徴の概略断面図である。 コネクタバンプを覆って堆積される均一な堆積を有する共形のパッシベーション層を示す、基板上のコネクタバンプの概略断面図である。 コネクタバンプおよびビアを覆う均一なパッシベーション層の堆積を示す、ビアおよび上にあるコネクタバンプの概略断面図である。 基板の特徴上にサブ層を有するパッシベーション層を堆積させるプロセスの例示的なバージョンの流れ図である。 パッシベーション層を形成および処置すること、最初の洗浄およびソーキングプロセスを実施すること、および基板上に応力をかけた共形のライナを堆積させることに好適な基板処理チャンバの実施形態の概略図である。 コネクタバンプを備える高アスペクト比の特徴を覆って堆積される窒化ケイ素のパッシベーション層の隅に、欠陥がないことを示す、走査型電子顕微鏡写真である。 比較的高い屈折率を有する窒化ケイ素のパッシベーション層の隅に沿って、継目がないことを示す、走査型電子顕微鏡写真である。 パッシベーション層の隅に継目またはクラックがないことを示す、薄い共形のライナを覆って堆積される窒化ケイ素のパッシベーション層を備える、高アスペクト比の特徴の走査型電子顕微鏡写真である。
パッシベーション層20を堆積および処置プロセスを使用して基板22上に堆積し、図2Aから図2Cに示すように、基板22の特徴24上に、連続し、共形で、かつ実質的に欠陥のないコーティングを形成することができる。基板22は、例えば、半導体ウエハ、化合物半導体、または誘電体であって良い。半導体ウエハは、シリコン、ゲルマニウム、またはシリコンゲルマニウムの単結晶または少数の大きな結晶を含む。例示的な化合物半導体は、ガリウムヒ素を含む。好適な誘電体としてはガラスパネルまたはディスプレイを含み、材料の中でもとりわけ、ホウリンケイ酸ガラス、リンケイ酸ガラス、ホウケイ酸ガラス、およびリンケイ酸ガラスを挙げることができる。
パッシベーション層20は、図2Aに示すように単一の層、または図2Bに示すように複数の層20a〜dであって良い。例えば、パッシベーション層20は、単一の誘電体層25またはそれぞれが誘電体層25a、bを備える複数の層20a、bであって良い。パッシベーション層20をもうけて、外部環境とこれらの材料の反応速度を減少させることによって、特徴24の下にある材料の露出した面を不動態化する。例えば、金属含有材料を含む特徴を、またはたとえ金属含有材料からなる特徴であっても、覆って堆積されるパッシベーション層20は、特徴の金属含有面上の、自然酸化膜の形成を減少することができる。誘電体層は、例えば、窒化ケイ素(Si)、二酸化ケイ素(SiO)、または他のそのような材料であって良い。典型的には、誘電体層は、1000オングストローム未満の厚さに、または500オングストロームの厚さにでさえ堆積される。
パッシベーション層20は、誘電体層25の下に堆積され、誘電体層25を特徴24の露出した面28に接着させる接着層27など、他の層20cも含むことができる。接着層27を、誘電体層25と同一の材料、誘電体材料の変種、または異なる材料から構成することができる。例えば、誘電体層25が窒化ケイ素層を備えるとき、接着層27は、シリコンに富んだ窒化ケイ素層であって良い。
パッシベーション層20は、誘電体層25の下に形成される共形のライナ29など、別の構成要素層20dをさらに含むことができる。共形のライナ29は、接着層27を覆って堆積させることができる。共形のライナ29は、接着およびステップカバレッジを促進する働きをする。好適な共形のライナ29は、シリコン前駆体として、SiHまたはTSAから作られるSi膜からなる。
パッシベーション層20の全体が、他の従来型のプロセスを使用して基板22上に既に形成された特徴24上に堆積される。特徴24は、基板22の平らな平面から外側に延在する、異なる形状および断面プロファイルを有することができる。例えば、特徴24は、相互接続13、コネクタバンプ14、シリコンビア18、酸化物構造、もしくはこれらの組合せまたは他の形状および構造を含むことができ、いくつかの例が図2Aから図2Cの中に提供される。パッシベーション層20は、下にある特徴24の共形なカバレッジを、0.2より大きい、または5より大きくさえ、または10より大きくさえある、高さと幅の比を有する高アスペクト比の特徴26についてさえ実現する。例えば、パッシベーション層20を堆積して、図2Aの相互接続13、図2Bのコネクタバンプ14、または図2Cの誘電体層19内のコネクタバンプ14およびビア18など、金属含有材料を含む特徴24をカバーすることができる。この応用例において、パッシベーション層20は、これらの特徴24の金属含有面の酸化を防止または減少する。
パッシベーション層20の製造が、基板22を処理するための例示的なプロセスおよび例示的なプロセスチャンバに関して、ここで記載されることになる。オプションのステップを有する例示的なプロセスが、図3の流れ図に示される。本明細書に記載のプロセスのうちのいずれかを、図4に示される好適なチャンバの例示的なバージョンである、プロセスチャンバ40のプロセスゾーン42内に基板22を配置することにより実施することができる。プロセスおよびプロセスチャンバ40の例示的なバージョンが図3および図4において図示される一方、当業者に明らかであるように、他のプロセスを使用することができ、これらのプロセスを他のプロセスチャンバ内で実施することができることを理解されたい。したがって、本明細書に図示されるプロセスおよびチャンバの例示的なバージョンを使用して、本特許請求の範囲を制限するべきでない。
場合によっては、パッシベーション層20を基板22上に堆積させる前に、特徴24、特に、図3の流れ図に示されるような金属含有材料を含むものの露出した面を洗浄するために最初の洗浄ステージを実施することが望ましい場合がある。特徴24が電気的な相互接続13またはコネクタバンプ14など、金属または金属含有材料から作られるとき、特徴24の露出した面は、酸化され自然酸化膜を形成する。洗浄プロセスは、酸素含有環境への露出から、特徴24の面上に形成される自然酸化膜または他のプロセス堆積物を除去する。洗浄プロセスは、例えば、アルミニウム、銅、チタン、タングステン、もしくはこれらの合金および化合物、または他の材料といった、金属含有材料を含む特徴24の面を洗浄することができる。
洗浄プロセスの一バージョンにおいて、水素含有ガスを含む洗浄ガスを使用して、特徴24の面上に形成される自然酸化物を除去する。洗浄プロセスは、H、またはNおよびNH、またはHO、またはSiH用など、好適な水素含有ガスにエネルギーを結合することにより形成される、水素含有プラズマ核種を含む、エネルギーを与えられた洗浄ガスに基板22を曝す。水素含有ガスの好適な体積流量は、約100sccmから約18リッター/分である。水素含有プラズマ核種は、特徴24上に形成される自然酸化膜の酸素成分と化学的に反応し、排出することができる揮発性の水酸基核種または水蒸気を形成し、このことによって、特徴24の面から自然酸化膜を除去すると考えられる。したがって、エネルギーを与えられた水素含有ラジカルは、特徴24上の自然酸化膜と特に相互作用して、周囲の層の構造に望ましくない損傷を与えない。
例示的な洗浄ガス組成は、約500から約3000sccm(例えば、約1000sccm)の体積流量で、Hを含む、または本質的にHからなる。別の例において、洗浄ガスは、約50から約300sccm(例えば、約160sccm)の体積流量でのNHと、約1000L/分から約30,000L/分(例えば、約18,000L/分)の体積流量でのNの混合物を含む。さらに別の例において、洗浄ガスは、約500から約3000sccm(例えば、約1000sccm)の体積流量でのHと、約50から約300sccm(例えば、約160sccm)の体積流量でのNHの混合物を含む。これらの例において、基板22がプロセスゾーン42内に配置され、洗浄ガス組成がチャンバ40内に導入されて、約1.5から約8.0トルの圧力範囲、または9.0トルにさえ維持される。次いで、約50から約700ワット(例えば、150ワット)の出力レベルで、プロセスゾーン42の周りのプロセス電極44a、bにRFエネルギーを結合することにより、プラズマが洗浄ガスから形成される。プロセス電極44を、約50mm(200ミル)から約150mm(600ミル)の間隔で維持することができる。基板10の温度は、400℃など、約180から約550℃で維持される。
洗浄プロセスの後、オプションの、最初のソーキングステージを実施して、特徴24を覆って接着層27を堆積させることができる。この接着層は、堆積されると、最終的なパッシベーション層20の一部を形成する。このプロセスの一バージョンにおいて、基板22上の特徴24は、シランを含むソーキングガスに曝され、例えば、シリコンに富んだ窒化ケイ素の薄層を含む接着層を堆積させる。薄いシリコンに富んだ窒化ケイ素層は、約10Åから約100Åの厚さを備える。
例示的なソーキングプロセスにおいて、基板22がプロセスゾーン42に搬送され、例えば約180℃など、約100℃から約240℃の温度で維持される。次いで、シラン、アンモニア、および窒素を含むソーキングガスがプロセスゾーン42内に導入され、基板22を、シリコンに富んだガス環境内に、温度でソーキングすることが可能となる。ソーキングガスの好適な組成は、約200から約800sccm(例えば、約500sccm)の体積流量のシラン、約200から約800sccm(例えば、約450sccm)の体積流量のアンモニア、約4000から約12,000sccm(例えば、約8000sccm)の体積流量の窒素を含む。ソーキングガスは、約1から約5トル(例えば、2.2トル)の圧力で維持される。ソーキングプロセスを、約5から30秒(例えば、約10秒)の間、実施することができる。ソーキングプロセス期間に、RFエネルギーは電極44a、bに印加されず、代わりに、基板22をソーキングガス中に温度でソーキングして、シリコンに富んだ窒化ケイ素の薄層を形成することが可能となる。ソーキングプロセスは、特徴24が銅からなるコネクタバンプ14を備えるとき、特に適用可能である。
オプションのライニングプロセスにおいて、共形のライナ29が特徴24を直接覆って、またはソーキングプロセスで形成される接着層を覆って堆積される。共形のライナ29は、パッシベーション層20の一部も形成し、上にある層が、より高い共形性を有して特徴24のプロファイルに堆積させることを可能にする。一バージョンにおいて、共形のライナ29は、California、San JoseのKLA−Tencorからの、KLA−Tencor FX−100など、分光偏光解析法または単波長偏光解析法を使用する膜厚および応力測定器によって測定されるとき、少なくとも約100MPaの内在引張応力を備える。特徴24の露出した面と上にあるパッシベーション層20の間のインターフェイスにおける応力勾配を減少させることによって、共形のライナ29は、欠陥11を減少させると考えられる。共形のライナ29は、トリアミノシランなど、0.14未満の低い固着係数を有するプラズマ核種の形成から生じる。低い固着係数の核種は、特徴24の露出した面における表面エネルギーを減少させ、共形のライナ29が特徴24の底部隅30で凹部プロファイルをカバーし、したがって、継目をもたらす、これらの底部隅30における高応力の集中を回避することを可能にする。一バージョンにおいて、共形のライナ29は、例えば約100オングストローム未満の厚さを有する薄層である。共形のライナ29は、シリコン含有ガスおよび窒素含有ガスを含むライナガスをプロセスゾーン42内に導入すること、および流量、圧力、プラズマ出力など、上述のプロセス条件のいずれかで、ライナガスにプラズマでエネルギーを与えることによって堆積させることができる。ライナガスの好適な組成は、シランを含むシリコン含有ガス、およびアンモニアと窒素の混合物を含む窒素含有ガスを含む。別のバージョンにおいて、ライナガスの別の組成は、トリシリルアミン(TSA)を含むシリコン含有ガス、および窒素、またはアンモニアと窒素の混合物を含む窒素含有ガスを含む。さらに別のバージョンにおいて、ライナガスは、シランまたはトリシリルアミンなどシリコン含有ガスのみ、または窒素など窒素含有ガスのみを含む。各場合において、ライナガスは、PECVDチャンバなど平行プレートリアクタに印加されるRF電力により形成されるプラズマによって、エネルギーを与えられる。
オプションの洗浄およびライニングプロセスの後、パッシベーション層20の誘電体層25が堆積され、特徴24を共形にカバーする。堆積プロセスによって、高アスペクト比の特徴26など特徴24の底部隅30において、均一かつ連続であり、実質的に欠陥11がない誘電体層25の堆積が可能となる。
一バージョンにおいて、窒化ケイ素層を含むパッシベーション層20は、基板22上に堆積される。このプロセスにおいて、基板22は、チャンバ40のプロセスゾーン42内に配置され、堆積プロセス期間、比較的低温に加熱される。特徴24、特に高アスペクト比の特徴26の形状に共形なパッシベーション層20を堆積させることに、低い堆積温度が重要である。共形によって、パッシベーション層20が、下にある特徴24のプロファイルにしたがい、特徴24の露出した面全体ならびに特徴24間の間隔32を覆う比較的均一な厚さを有することを意味する。一バージョンにおいて、誘電体堆積プロセス期間に、基板22は、約180℃から約550℃の温度、または約160℃から約420℃の温度にさえ加熱される。これらの温度は、典型的には600℃、または700℃さえ超える、従来技術の温度よりも非常に低い。
第1の堆積ステージにおいて、シリコン含有ガスおよび窒素含有ガスを含む、誘電体堆積ガスがプロセスゾーン42内に導入される。シリコン含有ガスは、シリコンを含むガスであり、ガスまたは蒸気の流れの中で提供されるシリコン含有化合物であって良い。シリコン含有ガスは、シラン、ジシラン、トリメチルシリル(TMS)、トリス(ジメチルアミノ)シラン(TDMAS)、ビス(第三−ブチルアミノ)シラン(BTBAS)、ジクロロシラン(DCS)、またはこれらの混合物であって良い。一バージョンにおいて、シリコン含有ガスは、シラン(SiH)を含む。好適なシラン流量は、約50から約2000sccm、または約400から約1000sccmである。窒素含有ガスは、アンモニア(NH)、窒素(N)、またはこれらの混合物であって良い。一バージョンにおいて、窒素含有ガスは、アンモニアと窒素の混合物を含む。アンモニアの好適な流量は、約100から約1000sccm、または約400から約800sccmでさえある。窒素ガスは、窒素原子の源としてだけでなく、プロセスゾーン42内で形成されるプラズマのエネルギーおよび性質を制御する希釈ガスとして機能する。希釈ガスは、シリコン含有ガスまたは窒素含有ガスと比較して比較的大量に加えられる。希釈ガスは、プラズマ中のエネルギーを与えられた核種と反応性核種の比を制御するよう機能し、反応性ガスの分子の数と比較して多数の希釈ガス分子間の多数の衝突を介してエネルギーを移送することにより、プラズマ内で追加の核種を解離するためにも使用することができる。一例において、希釈ガスは窒素であって良い。窒素は、窒化ケイ素の堆積における窒素含有ガス状核種の源、およびプラズマを生成し維持するためのエネルギーを与えられた分子の源の両方として機能することができる。窒素の好適な流量は、約5000から約25,000sccm(例えば、約8000から約12,000sccm)である。
一実施形態において、誘電体堆積ガスは、シラン、アンモニア、および窒素の混合物を含む。有利なことに、誘電体堆積ガスのそのような組成は、堆積される層内でより高い窒素とシリコンの比を実現し、このことによって、約1.8から約2.0の、または約1.88から約1.98のより高い屈折率でさえもたらす。好ましいバージョンにおいて、誘電体堆積ガスは、シラン、アンモニア、および窒素の混合物を含み、SiH:NH:Nの体積比が約1:1:8から約2:1:20である。これらの比において、誘電体堆積ガスは、より低い固着係数をもたらすプラズマ中のより高いアミン核種のために、より共形のカバレッジを実現することが見出された。誘電体堆積ガスは、プロセスゾーン42内または離れたゾーン(図示せず)内でエネルギーを与えられ、プロセスガス核種を活性化し、基板22上に材料を堆積させる。一バージョンにおいて、誘電体堆積ガスは、プロセスゾーン42の周りにあるプロセス電極44a、bにRFエネルギーを結合することによりエネルギーを与えられて、プロセスゾーン42内でプラズマが形成される。プラズマを生成するために、電極出力レベルは、典型的には、約500から約1600ワット、または約800から約1500ワットにさえ維持される。好適な電極間隔は、約5mm(200ミル)から約20mm(800ミル)である。
本堆積プロセスによって、プロセスゾーン42内に導入される誘電体堆積ガスの圧力を制御することにより、従来型のプロセスと比較して、少なくとも約100℃だけ低い温度で堆積させることが可能となる。堆積ガスの圧力が低いことは、堆積されるパッシベーション層20内の特定の核種の濃度を増加するため、例えば、窒化ケイ素を含むパッシベーション層20内の窒素の濃度を増加するため、望ましい。さらに、低い堆積圧力でイオンボンバードメント成分を増加することによって、より密度の高いパッシベーション層20を作り出す。誘電体堆積ガスの好適な圧力は、約1.5トルから約6トル、または約2から約4トルでさえある。
誘電体堆積ステージの一例において、窒化ケイ素からなる誘電体層25は、フリップチップ基板上のコネクタバンプなどの特徴24を覆って堆積された。コネクタバンプは、約0.2から約10のアスペクト比を有する高アスペクト比の特徴26であった。堆積プロセスにおいて、基板22は180℃の温度に維持された。820sccmの流量のシラン、590sccmの流量のアンモニア、および10L/分の流量の窒素を含む誘電体堆積ガスが、プロセスゾーン42内に導入された。誘電体堆積ガスは、3.5トルの圧力で維持された。RF電力が、11mm(450ミル)の間隔で維持されたプロセス電極44a、bに、1000の電力レベルで印加された。
誘電体堆積ステージの別の例において、窒化ケイ素を含む誘電体層25は、コネクタバンプである特徴24を覆って堆積された。堆積プロセスにおいて、基板22は、400℃の温度で維持され、誘電体堆積ガスは、4.2トルの圧力で維持された。残りは、例1内と同一の条件とする。窒化ケイ素を含む堆積されるパッシベーション層20は、1000オングストローム未満の厚さ、または500オングストロームの厚ささえ有することができる。有利なことに、薄いシリコン層は、高アスペクト比の特徴26を含む下にある特徴24の、より共形のカバレッジを実現する。
窒化ケイ素の誘電体層25の堆積の後、堆積されたパッシベーション層20は、プラズマ処置ステージでさらに処置される。この処置ステージにおいて、処置ガスがプロセスゾーン42内に導入される。処置ガスは、不活性ガスなど、非反応性ガスであって良い。好適な不活性ガスとしては、ヘリウムまたはアルゴンが挙げられる。そのようなまたは他の不活性ガスのために好適な流量は、約2,000sccmから約20,000sccmである。例えば、好適な非反応性ガスとしては、アンモニア、窒素、またはその混合物など、窒素含有ガスが挙げられる。一バージョンにおいて、非反応性ガスは、アンモニアまたは窒素、またはその混合物を含む。非反応性ガスのために好適な流体は、約2,000sccmから約20,000sccmである。例えば、約5,000sccmから約15,000sccmの流量でアンモニアおよび窒素を含む処置ガスをチャンバ40に導入し、3.5トルの圧力で維持することができる。事前選択された処置ガスは、プロセスチャンバ40内のプロセス電極44a、b間にエネルギーを結合することにより、堆積された窒化ケイ素層を処置するためのプラズマを形成するようにエネルギーを与えられる。プロセス電極44a、bは、約75から約1,600ワットの出力レベルで電極にRFエネルギーを結合することによって、エネルギーを与えることができる。処置プロセスにおいて、水素原子が堆積されたパッシベーション層20から追い出されると考えられる。堆積された層20内に水素が存在することは、湿気のために望ましくない。したがって、堆積されたパッシベーション層20を処置することにより水素を除去すること、および処置ガスが窒素含有ガスを含むことが、膜の密度を高くする働きをする。
堆積および処置プロセスは、特に誘電体層25が高アスペクト比の特徴26上に堆積されるとき、堆積されるパッシベーション層20の欠陥形成に対する耐性を強化するため、複数サイクルで実施される。複数サイクルのプロセスにおいて、堆積および処置ステージは、それぞれ複数回実施される。複数サイクルのプロセスは、高アスペクト比の特徴26の底部隅30における継目など欠陥の形成に、堆積されるパッシベーション層20が抵抗する能力を強化する。複数サイクルプロセスにおいて、プロセスゾーン42内への誘電体堆積ガスの流れが停止または変化され、処置ガスの組成を実現するために誘電体堆積ガスの組成を変化することにより、処置ガスの流れが開始または始動される。例えば、処置ガスは、シリコン含有ガスのない、上述したアンモニアおよび窒素、またはその混合物など、窒素含有ガスを含むことができる。処置ステージは、単に、誘電体堆積ガスのシリコン含有ガスの流れを停止し、一方窒素含有ガスの流れを継続して、誘電体堆積ガスを処置ガスに変換し、シランの流れを停止し、一方アンモニアおよび窒素の流れを継続し、アンモニアおよび窒素にエネルギーを与えてプラズマを形成することにより実施される。このバージョンは、膜の密度を高くするために、有利に使用される。
堆積されたパッシベーション層20の屈折率(n)(窒化ケイ素層の屈折率など)が、特徴、特に高アスペクト比の特徴26の底部隅30および他の幾何学的な移行領域における堆積された層の欠陥11のレベルに影響を及ぼすことも発見された。屈折率は、堆積されるパッシベーション層20内における窒素の量に反比例する測定値であると考えられる。窒素に富んだパッシベーション層20は、より低いSi−H含有量を実現し、このことが、今度は安定な膜を実現する。窒化ケイ素を含む望ましいパッシベーション層20は、1.88より高い屈折率、または1.92の屈折率さえ有することがさらに決定された。一例において、屈折率は、以前に記載した、KLA−Tencor膜測定装置を使用し、633nmの波長で偏光解析法を使用して測定された。したがって、一バージョンにおいて、プロセス条件は、633nmの波長で偏光解析法を使用して測定されるとき、1.88未満である屈折率(n)を有する窒化ケイ素層を堆積させるようにも設定することができる。
屈折率制御プロセスの第1の例において、1.88未満の所望の屈折率を得るための好適なプロセス条件は、以下であった。(1)180℃の基板温度、(2)820sccmの流量のシラン、590sccmの流量のアンモニア、8000sccmの流量の窒素を含むガス組成、(3)2.2トルのチャンバガス圧力、および(4)1080ワットの電極出力レベルおよび640ミル(16.3mm)の電極間隔。第2の例において、シランの流量が820sccmで維持され、アンモニアの流量が590sccmであったことを除いて、全てのプロセス条件は、第1の例と同一であった。
熱酸化物をエッチングするウェットエッチ速度に対する、窒化ケイ素の堆積されたパッシベーション層20の、ウェットエッチ速度比WERRが、堆積された層の欠陥11のレベルに影響を及ぼしたことも発見された。熱酸化物は、熱プロセスにより堆積される二酸化ケイ素であり、例えば、垂直もしくは水平拡散炉または急速熱処理機内で、800℃から1200℃の高温で成長する。WERRは0.3から約5.2であるように決定された。WERRが、特徴、特に高アスペクト比の特徴26の底部隅30および他の幾何学的な移行領域における完全性に、影響を及ぼした。したがって、堆積プロセス条件は、パッシベーション層20と熱酸化物のエッチングのウェットエッチ速度比WERRが5.2未満である窒化ケイ素層を堆積させるように設定された。
さらに別の方法において、高アスペクト比の特徴26など、特徴24を覆って堆積されるパッシベーション層20内の欠陥11の数が、層の厚さにわたって応力勾配を有するパッシベーション層20を堆積させることによって減少する。例えば、応力勾配を有する窒化ケイ素を含むパッシベーション層20は、堆積プロセス期間に、1つまたは複数のガスの流量を制御することによって堆積させることができる。このバージョンにおいて、堆積されるパッシベーション層20は、層内のシリコンと窒素の比に、徐々の変化、または段階状の変化を有する窒化ケイ素を含む。パッシベーション層20は、層20の厚さにわたって、シリコンと窒素の、少なくとも第1および第2の比を備える。これは、誘電体堆積ガスの組成を変化させることにより行われ、堆積プロセス期間に、大流量から小流量への第1のガス変化をする。例えば、窒化ケイ素は、シラン(SiH)を含むシリコン含有ガス成分、アンモニア(NH)を含む窒素ガス成分、および窒素(N)を含む希釈ガス成分を含むプロセスガスを使用して堆積させることができる。最初に、シリコン含有成分と窒素含有成分の第1の比を備える誘電体堆積ガスを使用して、プロセスガスのプラズマがプロセスゾーン内で生成される。その後、シリコン含有成分と窒素含有成分の第2の比を備える処置ガスを使用して、プロセスガスのプラズマがプロセスゾーン内で生成される。シリコン含有成分と窒素含有成分の第1の比は、約100:1未満であり、シリコン含有成分と窒素含有成分出力レベルの第2の比は、少なくとも約1である。例えば、シランとアンモニアの比は、堆積プロセス期間に、約1:1から約6:1に進むよう変えることができる。
別のバージョンにおいて、応力勾配を有する窒化ケイ素を含むパッシベーション層20を、基板22の周りで、1対のプロセス電極44a、bに印加されるRF電力を制御することにより堆積させることができる。このプロセスにおいて、プロセスガスがプロセスゾーン42の中に導入され、プロセスガスは、本明細書で記載のように、シリコン含有成分から窒素含有成分を含む。プロセスゾーン42内のプロセスガスのプラズマは、プロセスゾーン42の周りの電極に第1の出力レベルでエネルギーを印加することにより生成される。その後、シリコンと窒素の第2の比を有する窒化ケイ素が、電極44a、bに印加されるエネルギーを第2の出力レベルに変えることにより堆積される。一バージョンにおいて、第1の出力レベルは、第2の出力レベルより少なくとも約100ワット高い。例えば、第1の出力レベルは、約200ワット未満を備えることができ、第2の出力レベルは、少なくとも約500ワットを備える。このプロセスにおいて、基板22上に堆積される窒化ケイ素内のシリコンと窒素の比が、堆積される層の応力に影響を及ぼす。
別のバージョンにおいて、窒化ケイ素を含むパッシベーション層20は、それぞれが異なる応力レベルを有する複数の個別の窒化ケイ素サブ層を備え、各層にとっての応力が徐々にまたは段階状に増加する層を実現する。例えば、パッシベーション層20の応力は、第1の応力から、第1の応力より少なくとも100MPa低い第2の応力に増加することができる。第1の応力は、約600から約1000MPaであって良く、第2の圧力は、約500から約900MPaであって良い。一バージョンにおいて、第1の圧力は、800MPaであり、第2の圧力は700MPaである。プロセスゾーン42内のガス圧の変化は、プロセスゾーン42内で形成されるプラズマの密度を変化させる。より密度の高いプラズマは、限定された空間の一定の体積内に、より多数の気体イオンおよび核種を有するものである。より密度の高いプラズマは、より密度が低いプラズマから堆積されるパッシベーション層20よりも密度が高いパッシベーション層20を堆積させる。より密度が高いパッシベーション層20はより高い応力レベルを有し、したがって、結果として得られるパッシベーション層20は、異なる密度を備える異なる層を有する多層構造を備える。
上に記載したバージョンにおいて、堆積されるパッシベーション層20は、シリコンと窒素の比が層の厚さにわたって変わる組成勾配を有する窒化ケイ素層を備える。例えば、パッシベーション層20は、シリコンと窒素の比が厚さにわたって、少なくとも約40%変わる組成勾配を有することができる。窒化ケイ素層も、シリコンと窒素の比が厚さにわたって、約0.4から約1.5だけ変わる組成勾配を有することができる。
また別のバージョンにおいて、パッシベーション層20は、連続的に堆積させることおよび堆積した窒化ケイ素層をエッチング除去することによって堆積される層である。例えば、このプロセスは、堆積された層の一部をエッチング除去し、特徴24の底部隅30における凹部プロファイルを変えることができる。このバージョンにおいて、堆積および同時にエッチングするプロセスは、基板22をプロセスチャンバ40のプロセスゾーン42内に配置すること、パッシベーション層20を一定の厚さに堆積した後、銅バンプの側壁および底部を部分的にエッチングし、底部隅における凹部プロファイルを変えることができるよう、遠隔プラズマ内のフッ素ベースの化合物など、エネルギーを与えられたエッチングガスを導入することによって実施される。堆積およびエッチングプロセスは、複数サイクルで実施され、底部隅における凹部プロファイルを丸みを帯びたプロファイルに変更する。したがって、パッシベーション層20は、欠陥なく堆積させることができる。
上で記載したプロセスを実施するため使用することができる基板処理チャンバ40の実施形態が、図4に図示される。チャンバ40は、例示的なチャンバを図示するために提供される。しかし、当業者には明らかであるように、他のチャンバも使用することができる。したがって、本発明の範囲は、本明細書で記載の例示的なチャンバに限定されるべきでない。一般的に、プロセスチャンバ40は、基板22(シリコンウエハなど)の処理に好適な、プラズマ化学気相堆積(PE−CVD)チャンバであり、好適なチャンバは、California、Santa ClaraのApplied MaterialsからのProducer(登録商標) SEタイプチャンバである。チャンバ40は、天井52、側壁54、および底壁56を含む筐体壁48を備え、筐体壁48はプロセスゾーン42を取り囲む。チャンバ40は、プロセスゾーン42の周りの筐体壁48の少なくとも一部に裏打ちをするライナ(図示せず)も備えることができる。300mmシリコンウエハを処理するために、チャンバ40は、典型的には約20,000から約30,000cm、より典型的には、約24,000cmの体積を有する。
プロセスサイクル期間に、基板支持体58が下げられ、基板22が入り口ポート62を通され、ロボットアームなど基板搬送体64により基板支持体58上に配置される。基板支持体58は、ローディングおよびアンローディングのための下の位置と基板22の処理のための調整可能な上の位置の間で移動することができる。基板支持体58は、密閉された電極44aを含み、チャンバ40内に導入されるプロセスガスからプラズマを生成することができる。基板支持体58は、ヒータ68により加熱することができ、ヒータ68は、電気的な抵抗加熱素子(図示)、加熱ランプ(図示せず)、またはプラズマ自体であって良い。基板支持体58は、典型的には、基板22を受けるための受取面を有し電極44aおよびヒータ68をチャンバ環境から保護するセラミック構造を備える。使用する際は、高周波(RF)電圧が電極44aに印加され、直流(DC)電圧がヒータ68に印加される。基板支持体58内の電極44aは、基板22を支持体58に静電的にクランプするためにも使用することができる。基板支持体58は、基板支持体58上の基板22の周囲を少なくとも部分的に囲む、1つまたは複数のリング(図示せず)も備えることができる。
基板22が基板支持体58上にロードされた後、支持体58はガス分配器72により近い処理位置に上げられ、その間に所望の間隔間隙距離、dをもうける。間隔距離は、約2mmから約12mmであって良い。ガス分配器72は、基板22の全体にわたって均一にプロセスガスを分散するため、プロセスゾーン42の上に置かれる。ガス分配器72は、本明細書に記載のプロセスガスのいずれかを形成する第1および第2のガスもしくはガスの混合物の2つの独立した流れ、または別個の流れの堆積ガスおよび処置ガスを、ガスがプロセスゾーン42の中に導入される前にガスの流れを混合することなく、プロセスゾーン42に別個に送達することができる。別法として、ガス分配器がプロセスガスを前もって混合し、その後前もって混合したプロセスガスをプロセスゾーン42に提供することができる。ガス分配器72は、プロセスガスがそこを通って通過することを可能にする孔76を有する面板74を備える。面板74は、典型的には金属でできており、そこに電圧または電位を印加することが可能となり、このことにより、チャンバ40内の電極44aとして機能する。好適な面板74は、陽極酸化コーティングを有するアルミニウムで作ることができる。
基板処理チャンバ40は、第1および第2のガス供給80a、bも備え、ガス分配器72にプロセスガスを送達し、ガス供給80a、bは、それぞれガス源82a、b、1つまたは複数のガスコンジット84a、b、および1つまたは複数のガスバルブ86a、bを備える。一バージョンにおいて、第1のガス供給80aは、第1のガスコンジット84aおよび第1のガスバルブ86aを備え、ガス源82aからガス分配器72の第1の入り口78aに誘電体堆積ガスを送達し、第2のガス供給80bは、第2のガスコンジット84bおよび第2のガスバルブ86bを備え、第2のガス源82bからガス分配器72の第2の入り口78bに処置ガスを送達する。
プロセスガスは、電磁エネルギー、例えば高周波電圧エネルギーをプロセスガスに結合することによってエネルギーを与えられ、プロセスガスからプラズマを生成することができる。誘電体堆積ガスにエネルギーを与えるため、(i)ガス分配器72、天井52、またはチャンバ側壁54であって良い、第1の電極44aと(ii)支持体58内の電極44bの間に電圧が印加される。電極44a、bの対の間に印加される電圧は、プロセスゾーン42内のプロセスガスにエネルギーを容量的に結合する。典型的には、電極44a、bに印加される電圧は、高周波で発振する交流電圧である。一般的に、高周波とは、約3kHzから約300GHzの範囲を対象とする。本出願では、低い高周波は、約1MHz未満、より好ましくは約100KHzから1MHz(例えば、約300KHz)である周波数である。また、本出願では、高い高周波は、約3MHzから約60MHz、より好ましくは約13.56MHzである周波数である。選択された高周波電圧が、約10Wから約1000Wの出力レベルで第1の電極44aに印加され、第2の電極44bは、典型的には接地される。しかし、使用される具体的な高周波範囲および印加される電圧の出力レベルは、堆積される材料の種類に依存する。
チャンバ40は、ガス排気90も備え、チャンバ40から使用済みプロセスガスおよび副産物を除去し、プロセスゾーン42内のプロセスガスの所定の圧力を維持する。一バージョンにおいて、ガス排気90は、プロセスゾーン42からの使用済みプロセスガスを受け取るポンピングチャネル92、排気ポート94、スロットルバルブ96、および1つまたは複数排気ポンプ98を含み、チャンバ40内のプロセスガスの圧力を制御する。排気ポンプ98としては、1つまたは複数のターボ分子ポンプ、低温ポンプ、粗引きポンプ、および1つより多い機能を有する組合せ機能ポンプが挙げられる。チャンバ40はチャンバ40の底壁56を通る入り口ポートまたはチューブ(図示せず)も備え、チャンバ40内にパージングガスを送達することができる。パージングガスは、典型的には、入り口ポートから基板支持体58を通って、環状ポンピングチャネルに上向きに流れる。パージングガスを使用して、処理期間に、不要な堆積から基板支持体58の表面および他のチャンバ構成要素を保護する。パージングガスを使用して、プロセスガスの流れに所望のやり方で影響を及ぼすこともできる。
チャンバ40の動作および動作パラメータを制御するため、コントローラ102ももうけられる。コントローラ102は、例えば、プロセッサおよびメモリを備えることができる。プロセッサは、メモリ内に記憶されたコンピュータプログラムなど、チャンバ制御ソフトウェアを実行する。メモリは、ハードディスクドライブ、読取り専用メモリ、フラッシュメモリまたは他の種類のメモリであって良い。コントローラ102は、フロッピディスクドライバおよびカードラックなど、他の構成要素も備えることができる。カードラックは、シングルボードコンピュータ、アナログおよびデジタル入出力ボード、インターフェイスボードおよびステッパモータコントローラボードを含むことができる。チャンバ制御ソフトウェアは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、マイクロ波出力レベル、高周波数出力レベル、支持位置、および特定のプロセスの他のパラメータを規定する命令の組を含む。
チャンバ40は、例えば、基板支持体58内の第1の電極44aおよびチャンバ40内の第2の電極44bなど、様々なチャンバ構成要素に電力を送達する電源104も備える。プロセス電極44a、bに電力を送達するため、電源104は、選択された高周波および所望の選択可能な出力レベルを有する電圧を提供する、高周波電圧源を備える。電源104は、単一の高周波電圧源、または高い高周波および低い高周波の両方を提供する複数の電圧源を含むことができる。電源104は、RF整合回路も含むことができる。電源104は、静電気帯電源をさらに備え、基板支持体58内でしばしば静電チャックする電極への静電気帯電を実現する。ヒータ68が基板支持体58内で使用されるとき、電源104は、ヒータ68に適切な制御可能電圧を提供するヒータ電源も含む。ガス分配器72または基板支持体58にDCバイアスが印加されるとき、電源104は、ガス分配器72の面板74の導電性金属部分に接続されるDCバイアス電圧源も含む。電源104は、例えば、モータおよびチャンバ40のロボットといった、他のチャンバ構成要素用の電力源も含むことができる。
基板処理チャンバ40は、熱電対または干渉計など、温度センサ(図示せず)も備え、チャンバ40内で、構成要素表面または基板22の表面など表面の温度を検出する。温度センサは、そのデータをチャンバコントローラ102に中継することができ、チャンバコントローラ102は、次いで温度データを使用して、基板支持体58内の抵抗加熱素子を制御することにより処理チャンバ40の温度を制御する。
以下に記載の方法によって堆積される、誘電体層25、接着層27、および共形のライナ29のうちの1つまたは複数を備えるパッシベーション層20は、従来の堆積方法において底部隅30に生じた継目などの欠陥が実質的にないことが見出された。さらに、パッシベーション層20が、図2Aに示すように、相互接続13などの複雑な形状で高アスペクト比の特徴26を覆う、連続し共形のコーティングとして堆積した。同様に、コネクタバンプ14上に堆積したパッシベーション層20も、図2Bに示すように、コネクタバンプ14の円形の露出した面28にわたって均一な厚さを有する平滑で連続する層を形成した。さらに、コネクタバンプ14の基部の周りの底部隅30には、いかなるクラックまたは継目16もなかった。コネクタバンプ14およびシリコンビア18を含む、高アスペクト比の特徴26上に堆積したパッシベーション層20のさらに別の例が、図2Cに示される。この場合も、充填されたシリコンビア18の上にあるコネクタバンプ14のインターフェイス内の底部隅30に、クラック欠陥はないことが見出された。
従来型の堆積プロセスで形成される欠陥は、特徴24の熱膨張により引き起こされる、これらの領域における熱応力に起因して生じると考えられる。高アスペクト比の特徴26は、高さの方向に大きな寸法変化を有し、さらに特徴24のより高い高さとより狭い幅の間で、寸法変化に著しい差がある。パッシベーション層20の現在の方法により堆積されたパッシベーション層20は、膜の屈折率およびWERR比に反映される、膜のより高い密度のため、欠陥のない共形のコーティングを実現したと、さらに考えられる。またさらに、堆積されたパッシベーション層20は、様々なソーキングプロセス、堆積および処置プロセス、ならびに共形ライナ堆積プロセスで生成されるガス状またはプラズマ核種の低い固着係数のため、相互接続13またはコネクタバンプ14など下にある特徴24の複雑な形状寸法の上さえ共形であったとも考えられる。
以下の写真は、特徴24上に堆積した窒化ケイ素の誘電体層25を備えるパッシベーション層20に欠陥がないことを示す。例えば図5は、コネクタバンプ14を備える特徴24を覆って堆積される窒化ケイ素の誘電体層25を備えるパッシベーション層20の底部隅30に、継目がないことを示す、走査型電子顕微鏡写真である。さらに、SEM顕微鏡写真は、下にある特徴24の断面プロファイルの輪郭に厳密にしたがう、パッシベーション層20の平滑で共形なプロファイルも示す。この例において、パッシベーション層20は、400℃の堆積温度で堆積された窒化ケイ素の誘電体層25からなる。さらに、複数サイクルの堆積および処置プロセスを使用して、窒化ケイ素の誘電体層25を形成した。この例では、100サイクルの堆積プラズマ処置が行われて、パッシベーション層20を作成した。
別の例として、図6は、銅バンプを備える高アスペクト比の特徴26を覆って堆積される窒化ケイ素からなるパッシベーション層20の底部隅30に沿って、継目がないことを示す、走査型電子顕微鏡写真である。この写真は、パッシベーション層20が、欠陥なく高アスペクト比の特徴26上に形成されたことを、さらに図示する。この例では、窒素を含む誘電体層25が、180℃の堆積温度で堆積され、633nmの波長で偏光解析法を使用して測定されるとき、1.88より高い高屈折率を有する堆積層をもうけた。
さらに別の例では、図7は、窒化ケイ素の誘電体層25を備えるパッシベーション層20を有する銅バンプを備える高アスペクト比の特徴26の、走査型電子顕微鏡写真を示す。窒化ケイ素層は、180℃の堆積温度で堆積され、窒化ケイ素の共形なライナ29を覆って1000Åの厚さを有する。SEM顕微鏡写真は、下にある特徴24の断面プロファイルに厳密にしたがう、パッシベーション層20の平滑で共形なプロファイルも示す。
すなわち、パッシベーション層20の現在の方法により堆積されたパッシベーション層20は、特徴が高アスペクト比の特徴26であるときでさえ、特徴24の隅および縁部に実質的に欠陥がない、共形のコーティングを実現したことがわかる。またさらに、堆積したパッシベーション層20は、パッシベーション層20の窒化ケイ素層ならびに他の層を堆積させるためプラズマ中に形成されたガス状のプラズマ核種の固着係数がより良かったので、相互接続13またはコネクタバンプ14など鋭いまたは凹部隅を有する下にある特徴24の形状寸法を覆って共形であった。
本発明の例示的な実施形態が示され記載されているが、当業者なら本発明を組み込み、やはり本発明の範囲である他の実施形態を考案することができる。さらに、用語「下に(below)」、「上に(above)」、「底部(bottom)」、「上部(top)」、「上へ(up)」、「下へ(down)」、「第1の(first)」および「第2の(second)」および他の相対的または位置を示す用語は、図の中の例示的な実施形態に関して示され、置き換え可能である。したがって、添付の特許請求の範囲は、本発明を説明するため本明細書で記載した好ましいバージョン、材料、または空間的配置の記載に限定されるべきでない。

Claims (15)

  1. 基板の特徴上にパッシベーション層を形成する方法であって、前記パッシベーション層が窒化ケイ素層を備え、前記方法が、
    (a)プロセスゾーン内に複数の特徴を有する基板を準備する段階と、
    (b)第1のステージにおいて、前記プロセスゾーン内に、シリコン含有ガスおよび窒素含有ガスを含む誘電体堆積ガスを導入し、前記誘電体堆積ガスにエネルギーを与えて、前記特徴上に窒化ケイ素層を堆積させる段階と、
    (c)第2のステージにおいて、前記プロセスゾーン内に、前記誘電体堆積ガスとは異なる組成を有する処置ガスを導入し、前記処置ガスにエネルギーを与えて、前記窒化ケイ素層を処置する段階と、
    (d)前記第1のステージおよび第2のステージを複数回実施する段階と
    を含む方法。
  2. 前記処置ガスが窒素含有ガスを含む、請求項1に記載の方法。
  3. 前記誘電体堆積ガスの前記シリコン含有ガスの流れを停止し、一方前記窒素含有ガスの流れを継続することによって、前記処置ガスを形成することを(c)が含む、請求項1に記載の方法。
  4. シランを含むシリコン含有ガスならびにアンモニアおよび窒素を含む窒素含有ガスを導入する段階を含む、請求項1に記載の方法。
  5. 約1:1:8から約2:1:20であるSiH:NH:Nの比を提供する段階を含む、請求項4に記載の方法。
  6. 633nmの波長で偏光解析法を使用して測定されるとき、1.88未満である屈折率nを有する窒化ケイ素層を堆積させるように、プロセス条件を設定する段階を(b)が含む、請求項1に記載の方法。
  7. 窒化ケイ素の前記パッシベーション層のエッチングと熱酸化物層のエッチングのウェットエッチ速度比WERRが5.2未満である窒化ケイ素層を堆積させるようにプロセス条件を設定する段階を(b)が含む、請求項1に記載の方法。
  8. 前記基板を約180℃から約550℃の温度で維持する段階を含む、請求項1に記載の方法。
  9. (i)金属含有材料を含む相互接続もしくはコネクタバンプ、
    (ii)シリコンビア、または
    (iii)酸化物構造
    のうちのいずれか1つを備える複数の高アスペクト比の特徴を有する基板を準備する段階を含む、請求項1に記載の方法。
  10. (i)水素含有ガスを含む洗浄ガスを前記処理ゾーン内に提供する段階、および(ii)前記洗浄ガスにエネルギーを与えて、前記基板の前記特徴上の自然酸化膜を取り除く水素含有核種を含む、エネルギーを与えられた洗浄ガスを形成する段階を含む最初の洗浄ステージを含む、請求項1に記載の方法。
  11. (i)シランを含むソーキングガスを前記処理ゾーン内に提供する段階、および(ii)前記基板を約100℃から約240℃の温度に維持して、前記基板の前記特徴上に接着層を堆積させる段階を含む最初のソーキングステージを含む、請求項1に記載の方法。
  12. (1)前記処理ゾーン内に、(i)SiH、NHおよびN、(ii)トリシリルアミン、NHおよびN、(iii)SiHもしくはN、または(iv)トリシリルアミンもしくはN化学物質を含むライナガスを導入することと、
    (2)前記ライナガスにエネルギーを与え、プラズマを形成し、前記基板の前記特徴上に共形のライナを堆積させることであって、前記共形のライナが、約100Åより厚い厚さおよび少なくとも約100MPaの引張応力を有することと
    によって前記特徴上に共形のライナを堆積させる段階を含む、請求項1に記載の方法。
  13. 層の厚さにわたって応力勾配を有する窒化ケイ素層を備える共形のライナを堆積させる段階を含む、請求項12に記載の方法。
  14. 前記堆積プロセス期間に、SiHの流量を高流量から低流量に制御すること、および前記プロセスゾーンの周りの1対のプロセス電極に印加される印加RF電力の比を変えることにより共形のライナを堆積させる段階を含む、請求項12に記載の方法。
  15. 連続的に、窒化ケイ素層を堆積させることおよび前記堆積した窒化ケイ素層を部分的にエッチング除去することにより、窒化ケイ素層を堆積させる段階を含む、請求項12に記載の方法。
JP2013500158A 2010-03-15 2011-03-15 高アスペクト比の特徴をカバーするための窒化ケイ素パッシベーション層 Withdrawn JP2013522913A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/724,396 2010-03-15
US12/724,396 US8563095B2 (en) 2010-03-15 2010-03-15 Silicon nitride passivation layer for covering high aspect ratio features
PCT/US2011/028531 WO2011115997A2 (en) 2010-03-15 2011-03-15 Silicon nitride passivation layer for covering high aspect ratio features

Publications (1)

Publication Number Publication Date
JP2013522913A true JP2013522913A (ja) 2013-06-13

Family

ID=44560395

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013500158A Withdrawn JP2013522913A (ja) 2010-03-15 2011-03-15 高アスペクト比の特徴をカバーするための窒化ケイ素パッシベーション層

Country Status (6)

Country Link
US (1) US8563095B2 (ja)
JP (1) JP2013522913A (ja)
KR (1) KR101911469B1 (ja)
CN (1) CN102804350A (ja)
TW (1) TW201140720A (ja)
WO (1) WO2011115997A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017521865A (ja) * 2014-07-15 2017-08-03 ユ−ジーン テクノロジー カンパニー.リミテッド 高縦横比を有する凹部の上に絶縁膜を蒸着する方法
JP2019140314A (ja) * 2018-02-14 2019-08-22 Sppテクノロジーズ株式会社 シリコン窒化膜の製造方法及び製造装置
KR20210011436A (ko) * 2018-06-19 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 펄스형 플라즈마 증착 에칭 스텝 커버리지 개선

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
KR102099445B1 (ko) 2012-06-29 2020-04-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
US9564398B2 (en) 2013-03-12 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical direct pattern plating interconnect metallization and metal structure produced by the same
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR102193180B1 (ko) 2015-01-13 2020-12-21 삼성디스플레이 주식회사 박막 트랜지스터 표시판
JP6436531B2 (ja) * 2015-01-30 2018-12-12 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
US10115582B2 (en) 2015-06-05 2018-10-30 United Microelectronics Corporation Semiconductor device and method for manufacturing the same
US9728450B2 (en) 2015-06-25 2017-08-08 International Business Machines Corporation Insulating a via in a semiconductor substrate
KR102293218B1 (ko) * 2016-03-13 2021-08-23 어플라이드 머티어리얼스, 인코포레이티드 스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착
CN108778739B (zh) * 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备
KR20180007386A (ko) * 2016-07-12 2018-01-23 메이플세미컨덕터(주) 고 신뢰성을 가지는 전력 반도체 보호막의 제조방법
WO2018052476A1 (en) * 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2018132568A1 (en) * 2017-01-13 2018-07-19 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US11397038B1 (en) * 2017-07-18 2022-07-26 Seagate Technology Llc Coaxially pumped cooling station
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
DE102018121897A1 (de) 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
JP7200880B2 (ja) * 2019-08-19 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US11972943B2 (en) * 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
CN112582276A (zh) * 2019-09-28 2021-03-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11699585B2 (en) * 2020-10-21 2023-07-11 Applied Materials, Inc. Methods of forming hardmasks
US11710631B2 (en) 2020-10-23 2023-07-25 Applied Materials, Inc. Tensile nitride deposition systems and methods
CN115497842B (zh) * 2022-11-17 2023-05-12 合肥新晶集成电路有限公司 半导体结构的制备方法及半导体结构

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4089992A (en) 1965-10-11 1978-05-16 International Business Machines Corporation Method for depositing continuous pinhole free silicon nitride films and products produced thereby
US4279947A (en) 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
US4395438A (en) 1980-09-08 1983-07-26 Amdahl Corporation Low pressure chemical vapor deposition of silicon nitride films
US4435898A (en) 1982-03-22 1984-03-13 International Business Machines Corporation Method for making a base etched transistor integrated circuit
US4549064A (en) 1983-04-05 1985-10-22 Fairchild Camera & Instrument Corp. Laser treatment of silicon nitride
US4717602A (en) 1984-03-12 1988-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layers
US4624736A (en) 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US4618541A (en) * 1984-12-21 1986-10-21 Advanced Micro Devices, Inc. Method of forming a silicon nitride film transparent to ultraviolet radiation and resulting article
US4665426A (en) * 1985-02-01 1987-05-12 Advanced Micro Devices, Inc. EPROM with ultraviolet radiation transparent silicon nitride passivation layer
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH01176067A (ja) 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
US4877651A (en) 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
TW214599B (ja) 1990-10-15 1993-10-11 Seiko Epson Corp
WO1994014189A1 (de) 1992-12-11 1994-06-23 Heraeus Noblelight Gmbh Verfahren zur herstellung von halbleiter- und isolierschichten
EP0627763B1 (en) 1993-05-31 2004-12-15 STMicroelectronics S.r.l. Process for improving the adhesion between dielectric layers at their interface in semiconductor devices manufacture
US5970384A (en) 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5851603A (en) 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6316820B1 (en) * 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US5962344A (en) * 1997-12-29 1999-10-05 Vanguard International Semiconductor Corporation Plasma treatment method for PECVD silicon nitride films for improved passivation layers on semiconductor metal interconnections
US5985771A (en) 1998-04-07 1999-11-16 Micron Technology, Inc. Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers
US6123862A (en) * 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
EP1097473A1 (en) 1998-07-10 2001-05-09 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6046398A (en) * 1998-11-04 2000-04-04 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Micromachined thermoelectric sensors and arrays and process for producing
KR100310103B1 (ko) 1999-01-05 2001-10-17 윤종용 반도체 장치의 제조 방법
US6342456B1 (en) 1999-02-01 2002-01-29 Burlington Industries, Inc. Polypropylene outdoor fabric
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6436825B1 (en) * 2000-04-03 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of copper barrier layer formation
KR100366768B1 (ko) * 2000-04-19 2003-01-09 삼성전자 주식회사 배선의 접촉부 및 그의 제조 방법과 이를 포함하는 박막 트랜지스터 기판 및 그 제조 방법
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
FR2814279B1 (fr) 2000-09-15 2003-02-28 Alstom Substrat pour circuit electronique et module electronique utilisant un tel substrat
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
DE10223954A1 (de) * 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20040009680A1 (en) 2002-07-10 2004-01-15 Applied Materials, Inc. Seedless method of forming a silicon germanium layer on a gate dielectric layer
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
TW577125B (en) * 2002-10-25 2004-02-21 Nanya Technology Corp Method for forming a silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6942813B2 (en) 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7321155B2 (en) 2004-05-06 2008-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Offset spacer formation for strained channel CMOS transistor
US7528051B2 (en) 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20050266632A1 (en) 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7227205B2 (en) 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
TWI251349B (en) * 2004-11-22 2006-03-11 Au Optronics Corp Method of forming thin film transistor
US7405436B2 (en) 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7323391B2 (en) 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
KR20080008562A (ko) * 2006-07-20 2008-01-24 삼성전자주식회사 어레이 기판의 제조방법, 어레이 기판 및 이를 갖는표시장치
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20080060365A (ko) 2006-12-27 2008-07-02 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
JP2009124087A (ja) * 2007-11-19 2009-06-04 Oki Semiconductor Co Ltd 半導体装置の製造方法
US7843064B2 (en) * 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
KR100945438B1 (ko) * 2008-01-10 2010-03-05 주식회사루미지엔테크 반도체 기판과 이의 제조 방법 및 반도체 소자
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017521865A (ja) * 2014-07-15 2017-08-03 ユ−ジーン テクノロジー カンパニー.リミテッド 高縦横比を有する凹部の上に絶縁膜を蒸着する方法
JP2019140314A (ja) * 2018-02-14 2019-08-22 Sppテクノロジーズ株式会社 シリコン窒化膜の製造方法及び製造装置
JP6997000B2 (ja) 2018-02-14 2022-01-17 Sppテクノロジーズ株式会社 シリコン窒化膜の製造方法及び製造装置
KR20210011436A (ko) * 2018-06-19 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 펄스형 플라즈마 증착 에칭 스텝 커버리지 개선
JP2021528848A (ja) * 2018-06-19 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パルスプラズマ堆積エッチングのステップカバレッジ改善
JP7420752B2 (ja) 2018-06-19 2024-01-23 アプライド マテリアルズ インコーポレイテッド パルスプラズマ堆積エッチングのステップカバレッジ改善
KR102691504B1 (ko) * 2018-06-19 2024-08-01 어플라이드 머티어리얼스, 인코포레이티드 펄스형 플라즈마 증착 에칭 스텝 커버리지 개선

Also Published As

Publication number Publication date
WO2011115997A2 (en) 2011-09-22
US8563095B2 (en) 2013-10-22
TW201140720A (en) 2011-11-16
US20110223765A1 (en) 2011-09-15
KR101911469B1 (ko) 2019-01-04
WO2011115997A3 (en) 2012-04-12
CN102804350A (zh) 2012-11-28
KR20130050918A (ko) 2013-05-16

Similar Documents

Publication Publication Date Title
US8563095B2 (en) Silicon nitride passivation layer for covering high aspect ratio features
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
US11881379B2 (en) Film deposition apparatus for fine pattern forming
TWI769204B (zh) 用於遠程電漿程序之腔室調節方法
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
TW201611159A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
JP2002176047A (ja) プラズマ誘発損傷を減少させる方法
KR20180038977A (ko) 성막 방법
JP4743470B2 (ja) 半導体基板上にCu層と接触する膜を形成するための方法
US9728480B2 (en) Passivation layer and method of making a passivation layer
JPH1197533A (ja) 半導体集積回路及びその製造方法
KR100888186B1 (ko) 절연막 형성 방법
JPH11186258A (ja) 半導体集積回路及びその製造方法並びにその製造装置
JP3748837B2 (ja) 半導体装置の製造方法
JPH11283976A (ja) 半導体装置及びその製造方法
JPH07176613A (ja) 半導体装置の製造方法
JPS62274082A (ja) ドライエツチング方法
TWI524469B (zh) 作爲銅接著促進劑及擴散阻障層之自組裝單層的臨場汽相沉積方法
JPH098026A (ja) O3 −teosによるnsg膜の成膜方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140603