KR101639690B1 - 화학적 기상 처리를 위한 장치 및 방법 - Google Patents

화학적 기상 처리를 위한 장치 및 방법 Download PDF

Info

Publication number
KR101639690B1
KR101639690B1 KR1020107026592A KR20107026592A KR101639690B1 KR 101639690 B1 KR101639690 B1 KR 101639690B1 KR 1020107026592 A KR1020107026592 A KR 1020107026592A KR 20107026592 A KR20107026592 A KR 20107026592A KR 101639690 B1 KR101639690 B1 KR 101639690B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
wall
gas
heating element
Prior art date
Application number
KR1020107026592A
Other languages
English (en)
Other versions
KR20110036702A (ko
Inventor
크리스또프 보랑
쟝-루크 델카리
Original Assignee
알따떼크 세미컨덕터
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 알따떼크 세미컨덕터 filed Critical 알따떼크 세미컨덕터
Publication of KR20110036702A publication Critical patent/KR20110036702A/ko
Application granted granted Critical
Publication of KR101639690B1 publication Critical patent/KR101639690B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 처리용 장치(1)는 제어되는 압력 및 온도를 갖는 챔버(4)를 포함하는데, 기판 지지대(5)는 챔버(4)의 내측에 위치되고, 챔버(4)는 기상 증착을 수행하기 위한 가스 유입부, 및 챔버의 상측 벽(30)을 포함하는데, 그 챔버에는 제1 유입부(11)에 연결된 복수의 제1 채널(45)들 및 제2 유입부(12)에 연결된 복수의 제2 채널(37)들이 제공되며, 제1 채널 및 제2 채널은 챔버(4) 안으로 개방되고 또한 상측 벽(30)에 균일하게 분포되고, 가열 요소(14)는 상측 벽(30) 위에 배치되고, 가스 방출 링(49)은 기판 지지대(5)와 상측 벽(30) 사이에 배치된다. 상측 벽(30)은 전기적으로 도전성이고 또한 기판 지지대(5)에 대해 절연되어 있어서, 상측 벽(30)과 기판 지지대(5) 간에 전압을 인가할 수 있다.

Description

화학적 기상 처리를 위한 장치 및 방법{Device and process for chemical vapor phase treatment}
본 발명은 마이크로시스템(microsystem) 또는 집적회로의 제조 분야에 관한 것이고, 보다 구체적으로는 화학적 증기 증착(chemical vapor deposition)을 위한 장비에 관한 것이다.
마이크로시스템 또는 집적회로는 일반적으로 실리콘 또는 다른 반도체 재료로 이루어진 기판 또는 웨이퍼로부터 제작되는데, 그 기판 또는 웨이퍼는 다양한 재료들로 이루어진 얇은 층(thin layer)들을 증착(deposition)시키는 단계, 마스킹(masking)하는 단계, 및 그 층들을 리소그패피(lithography)하는 단계를 순차적으로 거치게 되고, 그리고 그 다음에 그 층들의 도안형성(engraving) 단계를 거친다. 디바이스(device)들의 활성 요소들(active element)을 제작하기 위한 그 단계들 사이에는, 표면들을 세정하는 단계 및 검사 단계가 개재되는바, 그 검사 단계에서는 생산 방법의 품질이 검사된다.
특히 본 발명은 집적 회로 및 마이크로시스템을 이루는 층들을 증착시키기 위한 장비에 관한 것이며, 보다 구체적으로는 화학적 증기 증착을 위한 장비에 관한 것이다.
집적 회로 및 마이크로시스템을 제작하기 위한 기술에서는 다양한 형태의 증착(deposition)이 사용되는바: 주된 카테고리만을 언급하여도 소위 물리적 증착(physical depositions)(원자화(atomisation), 증기화(evaporation)) 및 소위 화학적 증착이 있으며, 그 자체는 예를 들어 화학적 증기 증착, 원자층 증착(atomic layer deposition), 및 전기화학적 증착과 같은 복수의 하위 카테고리로 분류될 수 있다.
특히 금속 층들의 증착(deposition)에 보다 많이 관련된 물리적 증착은 수 나노바아(nanobar) 내지 마이크로바아(microbar)의 압력 범위에서 수행된다. 그들은, 증착을 수행하기 위하여 중립 가스(neutral gas)들만을 이용하기 때문에 수행하기가 상대적으로 간편하다는 장점을 갖는다. 그러나, 그들의 방향성 성질로 인하여, 집적 회로 및 마이크로시스템의 생산에서 볼 수 있는 수평 표면들 및 수직 또는 반-수직(quasi-vertical surface)의 표면들을 갖는 패턴을 균일하게 덮지 못한다는 단점을 갖는다.
물리적 증착에 의한 표면의 덮음율(rate of coverage)은 그 표면으로부터 볼 때의 입체각(solid angle)에 직접적으로 비례한다. 그 결과, 패턴의 돌출 부분과 수평 표면은 우각(reflex angle) 표면 또는 수직 표면보다 더 큰 두께로 덮인다. 그러므로, 증착의 불균일성이 초래되는바, 이것은 제작되는 전자 디바이스의 올바른 작동을 저해할 수 있다.
화학적 증착에 있어서는, 덮여야 하는 기판의 표면에서 흡착(adsorption), 화학적 수착(chemical sorption), 또는 이질적 반응(heterogeneous reaction)이 일어난다. 기상 증착의 경우에 반응제(reagent)들의 집중(concentration)과, 온도 및 압력과 관련된 적당한 조건이 조합된다면, 그 흡착, 화학적 수착, 또는 반응은 모든 표면들에서 일어난다. 그 결과, 화학적 증착, 특히 화학적 증기 증착은 집적 회로 또는 마이크로시스템을 이루는 패턴의 표면을 본질적으로 균일한 방식으로 덮는다. 덮여야 하는 패턴이 매우 높은 형태 인자(form factor)(패턴의 폭과 높이 간의 관계)를 갖는 경우, 최근의 회로 또는 마이크로시스템에서 그와 같은 특징은 특히 유리한 것이다.
집적 회로 또는 마이크로시스템을 제작하기 위한 시스템은 처리 챔버를 포함하고, 그 처리 챔버는 내부에 기판을 위한 지지대를 포함한다.
"샤워 헤드(shower head)"라는 용어를 이용하여 지칭되기도 하는 가스 배분 조립체(gas distribution assembly)는 기판 가까이에 하나 이상의 처리 가스들을 제공한다. 그 가스들은 플라즈마를 형성하도록 이용될 수 있다. 그러한 방법으로서는 기판 상에 층을 증착시키는 화학적 증기 증착(CVD), 플라즈마 촉진 화학적 증기 증착(PECVD), 또는 웨이퍼로부터 재료를 제거하기 위한 도안형성 반응이 포함된다.
이와 관련하여, 오래된 제작 기술들에 적합화되어 있는 공지된 문헌들 US 5,292,554, US 5,516,367 의 기술이 있으며, 또한 WO 03/060185 및 US 2006/21703의 문헌도 공지되어 있다.
본 출원인은 공지된 처리 챔버들은 가스 배분 조립체의 상류에 있는 여러가지의 가스들 간에 간섭 반응(interference reaction)의 발생을 허용할 수 있다는 것을 알게 되었다. 그러한 간섭 반응은 바람직하지 못한 위치들에 분말과 같은 형태의 고형물을 침적시킬 수 있다. 또한, 덮여야 하는 기판의 표면에서보다 가스 배분 조립체의 상류 측에서 압력이 높기 때문에, 가스 상태에서의 그러한 간섭 반응이 증진된다.
또한, 본 출원인은 집적 회로 및 마이크로시스템의 분야에서의 사용이, 가장 최근에 고려되는 재료들로 인하여 가스 배분 조립체의 구멍들이 막히게 되는 결과가 초래될 수 있는 위험이 있다는 것을 알게 되었다.
본 발명은 이와 같은 상황을 개선하여, 종래 기술의 문제점을 해결하는 것을 목적으로 한다.
기판 처리용 장치는 제어되는 압력 및 온도를 갖는 챔버 및 기판 지지대를 포함한다. 지지대는 챔버 안에 제공된다. 챔버는 예를 들어 두 개인 복수의 가스 유입부들을 포함한다. 그 장치는 제1 가스 유입부에 연결된 복수의 제1 채널들 및 제2 가스 유입부에 연결된 복수의 제2 채널들을 포함한다. 제1 채널 및 제2 채널은 챔버 안으로 개방된다. 이들은 챔버의 상측 벽에 규칙적으로 분포된다. 그 장치는 상측 벽을 가열할 수 있는 가열 요소, 및 상측 벽과 기판 지지대 사이에 제공된 가스 방출 링을 더 포함한다. 상측 벽은 전기적으로 도전성이고 또한 챔버의 웨이퍼 지지대에 대해 절연되어 있어서, 상측 벽과 웨이퍼 지지대 사이에 전압을 인가할 수 있게 된다.
또한, 본 발명은 온도 및 압력이 제어되는 챔버에서 기판을 처리하기 위한 방법에 관한 것인바, 그 기판은 챔버 내에 제공된 지지대에 의하여 지지된다. 가스 유입부들은 응축 온도보다 높은 온도로 가열되는바, 열 교환 유체를 이용하는 가열을 수행하는 것이 가능하다. 가스들은 유입부들을 통하여 유입되고, 또한 유입부들 아래에 제공된 챔버의 상측 벽을 통하여 유입되는바, 그 유입은 가스의 유형에 따라서 상이하고 또한 챔버 안으로 연장된 구멍들을 통하여 이루어지며, 그 구멍들은 규칙적으로 분포된다. 플라즈마를 발생시킬 것이 요구되는 때에는, 고주파 전압이 지지대와 상측 벽 간에 인가된다.
챔버는 용기(vessel)를 형성할 수 있는바, 그 압력은 한편으로는 펌핑 시스템(pumping system), 그리고 다른 한편으로는 압력 제어 시스템에 의하여 대기압 미만으로 조절된다.
특히 열교환 유체와 관계된 가열 요소는 유입부들에서 가스들을 적합한 온도로 가열하는 것을 가능하게 하는바, 그 온도를 예를 들어 응축 현상이 제한되도록 하는 온도이며, 이 때 유입부들 내의 가스들의 압력은 챔버 내의 가스들의 압력보다 약간 크다는 사실을 감안한다. 가열 요소는 상측 벽 내측 또는 그 위에 제공된다.
특히 열교환 유체와 관련된 가열 요소는 플라즈마를 발생시키도록 전압이 인가되는 것을 가능하게 하는데, 이 때 간섭의 발생이 방지되도록 하며, 이것은 전기 저항 형태의 가열에 의한 경우에 해당하지 않는다. 대안적으로는, 커버의 원격 가열을 일으키기 위하여 적외선 램프가 이용될 수 있다. 또한 절연된 저항기가 이용될 수 있으며, 특히 세라믹 재료로 이루어진 절연성 케이스 내에 장착된다.
나아가, 상측 벽과 지지대 간에 플라즈마를 발생시키도록 지지대와 상측 벽 간에 인가되는 전압은 도입되는 가스들에 의하여 챔버가 현장에서 효과적으로 세정되는 것을 가능하게 하는바, 그 가스들은 챔버 내측에 존재할 수 있는 침적물과 반응하도록 선택된다. 세정 가스들은, 침적물과 그 가스들과의 반응 생성물이 휘발성이 되어 펌핑에 의하여 방출될 수 있도록 선택된다. 상기 처리 장치 및 방법은 마이크로일렉트릭 디바이스(microelectronic device) 및 마이크로-일렉트로메카니컬 장치(micro-electromechanical device)을 위한 재료의 증착에 매우 적합한데, 그 재료들의 비제한적인 예로서는 HfO2, ZrO2, Ru, Pt, Ir 및 IrO2 이 있으며, 이들은 단독 또는 혼합으로 이용된다. 이 재료들은 흔히 분말로 된 유기금속성 전구체(organometallic precursor)로부터 준비되는바, 그 전구체는 적합한 용제 내에 액체 용액으로서 준비되고 증발된다. 고형 화합물의 용액으로부터 나온 그러한 가스들은 용제와는 상이한 증발 온도를 가지므로, 고형 제품의 분해 또는 응축으로 인하여 종래 설비가 막힐 위험이 상대적으로 높다.
본 발명에 의하여, 종래 기술의 문제점이 해결된다.
본 발명은 하기의 참조 도면들을 참조로 하여 예시된 비제한적인 예로서 제시된 수개의 실시예들에 관한 상세한 설명을 읽음으로써 보다 명확히 이해될 것이다.
도 1 및 도 2 는 처리 챔버의 두 개의 교차 평면들에서의 축방향 단면도들이고;
도 3 은 도 1 및 도 2 의 처리 챔버의 상측 벽에 관한 상세도이고;
도 4 및 도 5 는 도 3 의 상세도이고;
도 6 은 가열 요소의 일부분의 사시도이고;
도 7 은 펌핑 링(pumping ring)의 커버의 사시도이고;
도 8 은 가스 펌핑 링의 사시도이다.
1990년대에는 전기 가열 요소, 반응성 가스 유입부, 및 소거 가스 공급부(purging gas supply)와 함께 진공 챔버들이 화학적 증기 증착을 위하여 이용되었다.
후속하여, 반응 챔버의 내부 벽의 반사성 성질을 보존하기 위하여, 가스들에 의한 화학적 공격에 대해 저항성을 갖는 내화성 재료로 된 항부식 층(anti-corrosion layer)을 제공함에 의하여, 챔버의 벽들에서 반응성 가스들의 효과를 제한하기 위한 시도가 이루어졌다.
보다 최근에는, 브레이징(brazing)된 니켈로 된 가스 배분 조립체에 의하여 두 가지의 반응성 가스들을 처리 챔버 안으로 도입시키는 시도가 있었다.
그러나, 본 출원인은 최근까지 식별되지 않았던 문제점을 알게 되었는데, 그것은 분말과 같은 재료의 퇴적으로 인한 상측 벽의 막힘이다. 분말과 같은 재료는 그러한 물질을 운반할 수 있는 반응성 가스로부터의 것이거나, 또는 반응성 가스들 간의 반응물, 반응성 가스의 응축 등으로부터 나온 것일 수 있다.
나아가, 본 출원인은 기판의 전체 표면에 걸쳐서 균일한 두께를 갖는 증착을 얻기 위하여는, 챔버 내의 가스 유동 라인(gas flow line)들의 규칙성을 증진시키는 것이 중요하다는 것을 깨닫게 되었다.
처리 챔버의 일반적인 설명을 위하여는, 문헌 WO 03/060185 및 US 2006/21703 을 참조하면 될 것이다.
열교환 유체를 이용하는 가열 요소는 선택된 주파수의 전압 하에 놓이는 상측 벽에 의한 플라즈마의 생성 중에 전기적 간섭의 발생을 방지한다. 가열 요소는, 상측 벽 및 가스 유입부를 가열하기 위하여, 삽입된 구성요소에 또는 상측 벽에 제공될 수 있다.
상기 장치는, 상측 벽에 연결된 배출부(outlet)가 제공된 적어도 하나의 고주파 발생기를 포함할 수 있다. 고주파 발생기의 접지부(earth)는 기판 지지대에 연결될 수 있다. 가열 요소는 전기적으로 불활성일 수 있다. 상측 벽과 웨이퍼 지지대 간에 전압을 인가할 수 있도록, 상측 벽은 웨이퍼 지지대 및 챔버의 측벽들에 대하여 절연된다.
기판 지지대는, 접지부에 연결될 수 있는 도전체(conductor) 및 기판을 가열하기 위한 적어도 하나의 가열 요소를 포함할 수 있다. 따라서 기판 지지대의 온도 프로파일(temperature profile)을 제어하는 것이 가능하게 된다.
200mm 의 직경을 갖는 처리되어야 하는 기판을 위하여, 챔버의 상측 벽의 채널들의 갯수는 500보다 크며, 예를 들어 700 개 정도이다.
챔버의 상측 벽의 제1 유입부는 가스의 제1 공급원(first source)에 연결되고, 제2 유입부는 가스의 제2 공급원에 연결된다.
상측 벽의 제1 유입부는 가스 공급원에 연결될 수 있고, 제2 유입부는 증발기에 연결될 수 있다.
커버는, 도전성 몸체(conductive body), 가스들의 배분을 일으키는 상측 챔버 벽, 가열 요소, 및 기판 지지대에 대한 절연부를 포함할 수 있다. 절연부는 챔버 몸체와 접촉하는 링의 형태로 제공될 수 있다. 가열 요소는 RF 간섭(RF interference)으로부터 보호될 수 있다. 그 보호는, 예를 들어 전기적으로 절연성인 열교환 유체에 의하여 유발되는 열전도 또는 예를 들어 원격으로 분리(decoupling)을 일으키는 적외선(infrared radiation)과 같은 방사선에 의하여 내재적인 것이거나, 또는 예를 들어 내부에 저항기(resistor)가 제공되고 열을 견디는 절연 하우징(insulation housing)과 같이 구조적인 것일 수 있다. 열교환 유체(heat-exchange fluid)의 경우, 에너지는 RF 방사(RF radiation)를 약간만 받는 원격의 전기 저항기에 의하여 제공될 수 있다.
상측 벽은 제1 채널들을 형성하는 복수의 핀들을 포함할 수 있다. 그 핀들은 상측 벽 내에 강제끼움될 수 있다. 우수한 밀봉이 얻어진다.
가스 방출 링 또는 펌핑 링은 전기적으로 절연성인 재료의 적어도 일부분을 포함할 수 있다. 링은 단일체로 구성될 수 있다. 링은 세라믹 재료에 기반을 두거나, 또는 세라믹 재료로 코팅된 상측 부분, 및 하측 부분를 포함할 수 있다. 하측 부분는 전기적으로 도전성을 가질 수 있다. 하측 부분는 경합금(light alloy)을 기반으로 제작될 수 있다.
가스 방출 릴은 적어도 열 개의 개구(opening)들을 포함할 수 있는바, 그 개구들은 챔버와 소통되며, 또한 링의 원주에 걸쳐서 분포되어서 가스 방출율(gas discharge rate)의 벡터 범위(vector range)가 챔버의 수직축에 대해 축대칭을 이루고, 또한 가스들의 유동이 고려되는 유동 범위 내에서 층류 형태(laminar type)를 가지게 된다. 가스 방출 링, 챔버의 상측 벽, 및 가열 요소는 평행할 수 있다.
상기 장치는 챔버 몸체와 상측 벽 사이에 절연 구성요소(insulation component)를 포함할 수 있다.
도면들에 도시된 실시예에서, 전체적으로 1 로 표시된 처리 장치는 면에 요부가 한정된 몸체(2), 및 챔버(4)를 형성하기 위하여 그 면에서 요부를 폐쇄하는 커버(3)를 포함한다. 챔버(4) 내에는 지지대(5)가 제공되는데, 그 지지대에는 예를 들어 증착에 의하여 처리될 기판을 지지하도록 의도된 상측 표면(upper surface; 5a)이 제공된다. 지지대(5)는 베이스(base; 6) 및 플레이트(plate; 7)를 포함할 수 있다. 베이스(6)는 커버(3) 반대측에서 몸체(2)의 개구(2a)를 통하여 돌출될 수 있다. 베이스(6)는 (도시되지 않은 방식으로) 플레이트(7)로부터 멀리 연장될 수 있고, 베이스에는 회전 및/또는 병진하여 움직이기 위한 수단이 제공될 수 있다. 지지대(5)는 전극을 수납하는 절연성 재료로 이루어질 수 있는데, 예를 들어 절연부로서는 AlN 를 기반으로 하고 전극으로서는 Mo를 기반으로 할 수 있다. 지지대(5)에는 열 제어 부재(thermal control member; 55)가 제공될 수 있는바, 예를 들면 문헌 EP 0619381에 기재된 형태의 것이 제공될 수 있으며, 그 문헌은 독자가 참조할 수 있을 것이다. 부재(55)는 가열 및/또는 냉각이 가능하다.
커버(3)는 전체적으로 원형 형태인 복수의 요소들을 포함한다. 커버(3)의 요소들은 서로 실질적으로 평행하게 되도록 배치될 수 있다. 커버(3)는 밀봉, 복수의 가스들의 도입, 그 가스들의 가열과 관련된 기능들과 챔버(4) 내에 전기장을 발생시킬 수 있도록 하기 위하여 전극과 관련된 기능을 수행할 수 있다. 커버(3)는 하측 부분(9) 및 주 부분(main portion; 10)을 포함한다.
커버(3)는 몸체(2)의 상측 가장자리(upper edge) 상에 안착된다. 보다 구체적으로, 커버(3)의 하측 부분(9)은 전체적으로 주 부분(8)의 상측 표면 상에 안착된 편평한 디스크의 형태를 가져서 몸체(2)의 상측 부분을 형성한다. 주 부분(8)은 경합금을 기초로 하여 제작될 수 있다. 커버(3)의 하측 부분(9)은 예를 들어 동일한 합금인 경합금을 기초로 하여 제작될 수 있다.
전체적으로 원형인 주 부분(10)은 하측 부분(9) 상에 안착된다. 주 부분(10)은 경합금으로부터 제작될 수 있다. 주 부분(10)은 열적으로 전도성이고, 또한 제1 가스를 위한 제1 유입부(11)를 형성하는 중앙 구멍(central hole) 및 주 부분(10)의 외측 가장자리에 가까이 제공되고 또한 제2 가스(second gas)를 위한 제2 유입부를 형성하는 경사 구멍(oblique hole)으로 구멍이 형성되어 있다.
주 부분(10)은 챔버(4) 반대측에 있는 주 표면으로부터 제공된 원형의 오목부(concavity; 13)를 포함한다. 가열 요소(14)는 요부(13) 내에 제공되고, 상측 부분(16) 및 요부(13)의 저부에 제공된 하측 부분(15)을 포함한다. 하측 부분(15) 및 상측 부분(16)은 나사(17)들에 의하여 함께 고정될 수 있다. 하측 부분(15) 및 상측 부분(16)은 중앙 구멍을 갖는 디스크의 형태이다.
주 부분(10)의 돌출부(projection; 10a)는 챔버(4)로부터 멀어지는 방향으로 요부(13)의 저부로부터 돌출되어서 상측 부분(16) 및 하측 부분(15)의 중앙 구멍 내에서 연장된다. 돌출부(10a)는 상측 부분(16)의 상측 표면에 대하여 오목하게 된다. 제1 가스를 위한 유입부(11)는 중앙의 돌출부(10a)에 배치된다.
중앙 돌출부(10a) 위에는 전기적으로 그리고 열적으로 절연성인 와셔(washer; 18)가 제공되는데, 이것은 예를 들어 세라믹 재료로 형성되며, 제1 가스의 유동 방향에서 상측에 제공된 요소들과 주 부분(10) 사이에 제공된다. 커넥터(19)는 제1 가스를 공급하기 위한 도관(conduit; 20)과 와셔(18) 사이에 연결을 이룬다. 커넥터(19)는 와셔(18)에 나사결합될 수 있다. 예를 들어 원형의 조인트(joint)인 밀봉 조인트는 한편으로는 돌출부(10a)와 와셔(18) 사이에 제공될 수 있고, 또한 다른 한편으로는 와셔(18)와 커넥터(19) 사이에 제공될 수 있다.
도 6 에서 상세히 확인되는 바와 같이, 가열 요소(14)의 하측 부분(15)은 나선 채널(helical channel; 21)을 구비하는데, 나선채널은 실질적으로 사각형의 단면을 가지며 또한 큰 직경을 갖는 단부와 작은 직경을 갖는 단부 사이에서 복수회로 감겨져 형성된다. 채널(21)은 열교환 유체가 예를 들어 50 내지 300°의 온도로 유동하는 것을 허용한다. 열교환 유체는 실리콘 오일 또는 과불화 화합물(perfluorinated compound)을 포함할 수 있다. 나설 채널(21)의 감긴 부분들은 채널(21)의 반경방향 치수보다 작은 반경방향 두께를 갖는 나선 벽(22)에 의하여 분리된다. 상측 플레이트(16)에는, 단부들에서 연결된 열교환 유체용 배출부(미도시) 및 유입부가 제공된다. 하측 부분(15)은 예를 들어 알루미늄 또는 구리에 기초한 열도전성 재료로 이루어진다. 상측 부분(16)은 하측 부분(15)의 재료보다 낮은 열 전도성을 갖는 재료로 이루어지는바, 예를 들어 스테인레스 스틸, 특히 316L 유형의 것으로 이루어진다.
두 개의 고리형 홈들, 즉 작은 직경을 갖는 하나의 고리형 홈(23) 및 큰 직경을 갖는 하나의 고리형 홈(24)이 하측 부분(15)에 제공되고, 나선 채널(21)과 동일한 측으로 개방된다. 밀봉 조인트들은 고리형 홈(annular groove)들(23, 24)에 나선 채널(21)을 반경방향으로 둘러싸도록 제공된다. 따라서, 상측 부분(16)에 의하여 폐쇄된 채널(21)의 우수한 밀봉이 보장된다.
나사(25)들은, 도 2 에 도시된 바와 같이, 커버(3)의 주 부분(10)에 가열 요소(14)의 상측 부분(16)을 고정시키기 위하여 제공된다. 따라서, 하측 부분(15)은 오목부(13)의 저부와 상측 부분(16) 사이에서 고정되어, 가열 요소(14)의 상측 부분(16)과 하측 부분(15) 간의 나선 채널(21)의 밀봉을 보장한다.
가열 요소(14)의 반대측인 커버(3)의 주 부분(10)의 표면으로부터 시작하면, 오목부(26)가 제공되는데, 이것은 커버(3)의 축에 중심을 가지며, 제1 가스의 유입부(11)와 유체 소통된다.
가열 요소(14)의 반대측에 있는 표면으로부터, 커버(3)의 주 부분(10)에는 고리형 홈(27)이 더 제공된다. 고리형 홈(27)은 제2 가스를 위한 유입부(12)와 유체 소통된다. 고리형 홈(27)은 고리형 플랜지(annular flange; 28)에 의하여 오목부(26)로부터 분리되는바, 고리형 플랜지는 주 부분(10)의 일부분이므로, 중앙 오목부(26)는 홈(27) 및 플랜지(28)에 의하여 둘러싸인다.
또한, 커버(3)는, 주 부분(10)의 외측 가장자리 가까이에 제공되는 절연성 링(29)을 더 포함한다. 링(29)은 전기적으로 절연성인바, 특히 커버(3)의 하측 부분(9)에 대하여 그러하다. 절연성 링(29)은 L자 유형의 단면을 가진 상측 표면, 및 커버(3)의 주 부분(10)과 접촉하는 구멍을 구비하는바, 외측 표면은 커버(3)의 하측 부분(9)과 접촉하는 큰 직경을 갖는다. 또한, 구멍은 아래에 설명된 챔버(4)의 상측 벽(30)과 접촉한다. 절연성 링(29)은 아래에 설명되는 가스 방출 링(31)과 접촉하는 고리형 하측 벽을 구비한다. 커버(3)의 절연성 링(29) 및 주 부분(10)은 나사(32)들에 의하여 하측 부분(9)에 고정될 수 있다. 몸체(2)는 절연성 링(insulating ring; 29)에 의하여 벽(30) 및 주 부분(10)으로부터 분리된다. 절연성 링(29)은 Al2O3 유형의 세라믹 재료를 기반으로 한다.
상측 벽(30)은 가스 방출 링(49)과 커버(3)의 주 부분(10)의 하측 표면 사이에 보유된다. 상측 벽(30)은 도 3 내지 도 5 에 상세히 도시되었고, 전체적으로 고리형의 형상을 갖는다. 상측 벽(30)은 몸체(31)를 구비하는바, 그 몸체는 외측 가장자리(32) 및 외측 가장자리(32)의 두께보다 작은 두께를 갖는 중앙 플레이트(33)를 포함한다. 구멍(34)들은 외측 가장자리(32)에 제공되는바, 특히 커버(3)의 주 부분(10)에 도시되지 않은 나사들에 의하여 고정된다. 몸체(31)는 단일체이다. 몸체(31)는 열적으로 전도성인 경합금으로 이루어진다.
중앙 플레이트(33)와 외측 가장자리(32) 간의 두께 차이로 인하여, 오목부는 챔버(4)의 반대측 측부에 형성된다. 중앙 플레이트(33)에는 적어도 2 유형의 관통공(through-hole)들이 제공된다. 구멍(36)들은 실질적으로 일정한 단면을 갖는다. 구멍(37)들은 오목부(35)의 영역(region)에서 작은 직경을 갖는 부분(37a)을 구비하고, 또한 확장된 절두원추부(37b)를 구비하는바, 그 절두원추부는 오목부(35)의 반대측에 있으며, 또한 오목부(35)로부터 멀어지는 방향으로 증가하는 직경을 갖는다. 구멍(36)들 및 구멍(37)들은 예를 들어 정사각형인 드래프트-보드(draughts-board)의 방식, 또는 지그재그(zigzag manner)의 방식으로 교번적으로 제공된다. 예를 들어 500 내지 1500 개인 많은 수의 구멍들(36, 37)이 제공될 수 있다. 구멍(36)들의 직경은 구멍(37)들의 직경보다 크다.
또한, 상측 벽(30)은 카운터-플레이트(counter-plate; 38)를 포함하는데, 카운터-플레이트는 외측 가장자리(39) 및 중앙부(central portion; 40)를 포함한다. 중앙부(40)는 외측 가장자리(39)보다 작은 두께를 가짐으로써, 중앙 플레이트(33)의 측에서 지향된 오목부(41)를 제공한다. 카운터-플레이트(38)의 외측 가장자리(39)는 몸체(31)의 오목부(35) 내에 맞춰짐으로써 카운터-플레이트(38)와 중앙 플레이트(33) 사이에 배분 챔버(42)를 형성한다. 카운터-플레이트(38)의 중앙부(40)는 플레이트(33)의 구멍(36)들과 정렬된 복수의 관통공(43)들이 형성된다. 그러나, 중앙부(40)는 플레이트(33)의 구멍들을 대면하여 막혀있다.
상측 벽(30)은 몸체(31)의 두께와 실질적으로 같은 축방향 길이를 갖는 복수의 핀(44)들을 포함한다. 각 핀(44)은 중앙 플레이트(33)의 구멍(36) 내, 그리고 중앙부(40)의 구멍(43) 내에 장착된다. 각 구멍(36) 및 각 구멍(43)에는 핀(44)이 제공된다. 그와는 달리, 임의의 남아 있는 구멍(36) 또는 구멍(43)에는 도시되지 않은 플러그가 제공될 수 있다. 핀(44)은 속이 찬 구성요소의 형태를 갖는바, 핀에는 회전에 의하여 생성되는 외측의 원통형 표면이 제공되고, 그 상측 표면은 관통공(45) 및 몸체(31)의 상측 표면과 실질적으로 정렬된다.
핀(44)은, 예를 들어 고정에 의하여 구멍(36) 및 구멍(43) 내에 강제끼움된다. 그 끼움은 프레스(press)를 이용하여 수행될 수 있다. 고정을 용이하게 하기 위하여, 핀(43)에는 그것의 외측 표면의 영역에서 그것의 하부 단부에 약간의 모따기부가 제공될 수 있다. 변형예로서, 핀(44)은 플레이트(33) 및 카운터-플레이트(38)에 브레이징(brazing)된다. 그러나, 몸체(31) 및 카운터-플레이트(38)의 기하학적 치수를 보존하기 위하여는 클램핑된 끼움(clamped fitting)이 바람직하다. 클램핑된 끼움은 우수한 밀봉을 보장한다.
관통공(45)은, 커버(3)의 주 부분(10)의 하측면에 제공된 챔버(26)과 챔버(4)를, 챔버(42)에 대해 밀봉이 유지되는 상태로 유체소통되게 한다. 핀(44)은, 열적으로 전도성이 좋은 예를 들어 경합금에 기반을 둔 금속 합금으로 제작된 속이 찬 구성요소일 수 있다. 구멍(45)은 원통형 부분(cylindrical portion; 45a)과 절두원추형 부분(frustoconical portion; 45b)을 포함하는데, 그 원통형 부분은, 작은 직경을 가지고, 또한 챔버(26) 내에서 개방된 몸체(31)의 상측 표면으로부터 핀(44)의 길이 대부분에 걸쳐서 연장되며, 절두원추형 부분은 챔버(4) 내로 개방된다. 구멍(45)의 원통형 부분(45a)은, 몸체(31)의 중앙 플레이트에 제공된 구멍(37)의 원통형 부분(37a)의 직경과 실질적으로 같은 직경을 가질 수 있다. 이 경우에 있어서 "실질적으로 같다"는 것은, 10%, 바람직하게는 5% 이내의 범위로 동등하다는 것을 의미하는 것으로 의도된 것이다.
구멍(45)의 절두원추형 부분(45b)은 구멍(37)의 절두원추형 부분(37b)의 치수와 실질적으로 동일한 치수를 가질 수 있다.
다시 말하면, 상측 벽(30)은 복수의 구멍(45)을 포함하는데, 그 구멍은 챔버(26)에 의하여 챔버(4)와 제1 가스를 위한 유입부(11) 간에 제1 소통 채널(first communication channel)들을 형성한다. 챔버(26)는 구멍(45)들 내에 제1 가스의 유동의 배분을 일으킨다.
도 4 에서 보다 구체적으로 도시된 바와 같이, 챔버(42)의 홈(27)의 영역에는, 유입부(46)가 제공되는데, 그 유입부는 가장자리(39) 가까이의 상측 카운터-플레이트(38)의 중앙부(40)를 통하는 관통공의 형태를 갖는다. 홈(27) 주위에는 두 개의 밀봉 조인트(48)들을 내부에 수용하기 위하여 카운터-플레이트(38)의 상측 표면으로부터 연장된 두 개의 고리형 홈(47)들이 제공된다. 밀봉 조인트(48)들은 커버(3)의 주 부분(10)의 하측 표면과 접촉된다. 구멍(46)은 고리형 홈(27)에서 개방되는바, 그 고리형 홈은 챔버(26) 주위에서 커버(3)의 주 부분(10)의 하측 표면으로부터 제공된 것이며, 그 홈(27)은 제2 가스를 위한 유입부(12)와 유체소통된다. 도 4 에는 단일의 구멍(46)이 도시되어 있다.
유입부(12)로부터 홈(27) 안으로 유동하는 제2 가스의 가능한 가장 균일한 유동을 증진시키기 위하여, 복수의 구멍(46)들이 중앙부(40)를 통하여 형성될 수 있다. 제2 가스는 구멍(46)을 관통하여 챔버(42) 내에 분산되게 된다. 그 다음 제2 가스는 중앙 플레이트(33)에 형성된 구멍(37)들 안으로 통과하고 처리 챔버(4) 안으로 도입된다. 따라서, 제1 가스 및 제2 가스를 제1 가스 및 제2 가스의 유동 방향에서 챔버(4)의 상류에서 분리되게 유지시키는 것이 가능하다. 따라서, 제1 가스 및 제2 가스의 일부 성분들 간의 화학적 반응이 방지되는데, 그 반응은 특히 막힘으로 인하여 처리 장치(1), 또는 기판의 처리단계에 유해할 수 있는 것이다.
가열 요소(14)는, 특히 홈(27) 및 챔버(26) 안으로의 유입부들(11, 12)에서, 제1 가스 및 제2 가스가 응축 현상이 방지되기에 충분한 온도로 유지되는 것을 가능하게 한다. 또한, 상측 벽(30)의 상측 카운터-플레이트(38)는, 예를 들어 가벼운 알루미늄 합금을 기초로 하여 열을 잘 전도하는 재료로 제작될 수 있는데, 이것 또한 열 전도 및 커버(3)의 주 부분(10)과의 접촉으로 인하여 카운터-플레이트(38)가 높은 온도로 유지되는 것을 가능하게 한다. 몸체(31)의 하측 부분도 우수한 열 전도성을 갖는 재료로 제작될 수 있는데, 이것은 챔버(42)가 높은 온도로 유지되는 것을 가능하게 한다.
가스 방출 링(49)은 커버(3)와 실질적으로 평행하게 되도록 몸체(8)의 고리형 표면(8a) 상에 장착되며, 또한 구멍을 형성하는 원형의 축 표면(8b) 내에 장착된다. 또한, 가스 방출 링(49)은 절연성 링(29), 및 상측 벽(30)의 몸체(31)의 하측 표면과 접촉된다. 가스 방출 링은 단일체 또는 수개의 부재들로 구성될 수 있다. 링(49)은 상측 벽(30)과 지지대(5) 사이에 축방향으로 배치된다.
도시된 실시예에서, 링(49)은 도 7 에 도시된 상측 부분(50)과, 도 8 에 도시된 하측 부분(51)을 포함한다. 상측 부분(50)은 고리형의 구성요소 형태를 가지는바, 이것은 하측 평면형 표면(50a), 절연성 링(29)과 접촉하고 큰 직경을 갖는 상측 표면(50b), 및 상측 벽(30)과 접촉하고 작은 직경을 갖는 상측 표면(50c)을 포함하는바, 상측 부분(50)의 축방향 두께는 작은 두께를 갖는 상측 표면(50c)의 영역에서 보다 큰 직경을 갖는 상측 표면(50b)의 영역에서 더 크다. 짧은 축방향 표면(50d) 및 절두원추형 표면(50e)은 표면들(50b, 50c)을 연결시킨다. 또한, 상측 부분(50)은 몸체(8)의 구멍(8b)과 접촉하는 축방향 외측 표면(50f) 및 작은 축방향 치수를 갖는 내부 표면(50g)을 포함한다. 상측 부분(50)은 Al2O3 유형의 세라믹 재료를 기초로 하여 제작되거나, 또는 Al2O3 유형의 코팅을 구비한 알루미늄 유형의 세라믹 재료로 코팅된 경합금을 기초로 하여 제작될 수 있다.
상측 부분(50)은 절연성 링(29)과 하측 부분(51) 사이의 스페이서(spacer)로서 작용하고, 또한 링(49)의 하측 부분(51)고 커버(3)의 주 부분(10) 사이의 절연재로서 작용한다.
하측 부분(51)은 H 형상의 단면을 갖는 전체적으로 고리형의 형태를 가진다. 하측 부분(51)은 외측 벽(51a), 내측 벽(51b), 및 전체적으로 반경방향의 연결 벽(51c)을 포함한다. 내측 벽(51b)은, 내측 벽(51b)의 내측 측부에서 챔버(4)와 소통되는 복수의 노치(notche; 51d)들, 및 상측 부분(50)의 하측 표면(50a), 연결 벽(51c), 내측 벽(51b), 및 외측 벽(51a) 간에 형성된 전체적으로 고리형인 채널(52)을 구비한다. 노치(51d)들은, 감안되는 유동 범위에서 축방향으로 대칭적이고 층류인 유동으로, 충분히 균일한 가스 흡입과 함께 방출을 허용하도록 원주상으로 규칙적으로 분포된다. 이와 같은 방식으로, 가스들은 상측 벽(30)의 구멍들(37, 45)을 통하여 유입되는바, 그 구멍들은 챔버(4)의 실질적으로 챔버의 중앙에 제공되고, 가스들은 챔버(4)의 주위에 제공된 노치(51d)들을 통하여 방출되는데, 이것은 챔버(4) 내의 유체 유동 라인들이 통제되는 것을 가능하게 한다.
연결 벽(51c)에는 복수의 소통 구멍(53)들이 형성된다. 연결 벽(51c)은 외측 벽(51a)와 내측 벽(51b)의 높이의 실질적으로 절반에 제공된다. 하측 채널(54)은 내측 벽(51a)와 외측 벽(51b) 사이, 몸체(8)의 고리형 표면(8a)과 연결 벽(51c) 사이에 형성된다. 구멍(53)들은 상측 채널(52)과 하측 채널(54)을 소통시킨다. 하측 채널(54)은 도 2 에 도시된 바와 같이 몸체(8)에 제공된 가스 방출 배출부(59)와 소통된다. 또한, 구멍(57)(도 1 참조)은 몸체(8)에 제공되는바, 연결 벽(51c)(도 8 참조)으로부터 채널(52) 안으로 돌출된 형태의 실질적으로 사각형인 보스(boss; 60) 안에 제공되어서, 예를 들어 광학 방출 스펙트로미터(optical emission spectrometer)를 이용하여, 챔버(4) 내에 플라즈마가 생성되는 때에 챔버의 내부를 관찰하는 것을 가능하게 한다. 구멍(57)은 항-자외선(anti-UV) 처리된 유리에 의하여 몸체(8)의 배출부(도 1 에는 미도시)에서 폐쇄되어, 이 점에 있어서 챔버(4)의 밀봉을 보장한다. 개구(58)는 몸체(8)에 제공되어서, 기판이 진공 전달 챔버를 통하여 지지대(5) 상의 챔버(4)의 중앙으로 움직여지는 것을 허용하는바, 이것은 도면에 도시되지 않은 로봇에 의하여 선택에 따라 제공될 수 있는 것이다. 그 개구는 가스 방출 링(49)의 위치선정을 저해하지 않는 방식으로 제작된다.
일 실시예에서, 가스 방출 링(49)의 상측 부분(50)은 전기적으로 절연성인 재료로 제작된다. 이로써, 커버(3)의 주 부분(10), 가열 요소(14), 및 챔버(4)의 상측 벽(30)은 절연성 와셔(18), 절연성 링(29), 및 가스 방출 링의 상측 부분(50)에 의하여 처리 장치(1)의 다른 요소들에 대해 전기적으로 절연된다. 따라서, 지지대(5)가 접지되면서도, 예를 들어 고주파의 교류 전압인 선택된 전압을 상측 벽(30)과 주 부분(10)에 인가하는 것이 가능하다.
고전압의 인가는 챔버(4) 내에서 플라즈마의 생성을 증진시키는 극화(polarisation)를 가능하게 한다. 임피던스 매칭 장치(impedance matching device)를 거친 고전압 공급부와 커버(3) 사이의 전기적 연결은 나사(32)들 중의 하나에 의하여 고정된 예를 들어 구리로 된 금속끈에 의해 이루어질 수 있다.
따라서, 처리 장치는 기판 상에 구성되는 새로운 세대의 디바이스를 위하여 이용되는 가스들에 적합한 온도를 이용할 수 있게 되는바, 특히 고체 잔류물의 침적 또는 응축의 경향을 갖는 가스들 또는 고체상 전구체(solid precursor)의 증기화된 용액을 이용할 수 있게 된다.
전기적 관점에서 불활성인, 채널(21) 내에서 유동하는 열교환 유체와 관련된 가열 요소(14)는 커버(3)에 인가되는 전압과 양립가능하다. 가열 요소(14)는 커버(3)의 주 부분(10)이 챔버(4) 안으로 도입되는 가스들의 최고 응축 온도(1a)보다 높은 온도로 유지되는 것을 가능하게 하는바, 이것은 침적 또는 응축의 위험이 감소될 수 있게 한다. 전압의 인가는 ,화학적 침적 반응을 활성화시키는 플라즈마를 생성시키기 위하여 이용될 수 있고, 또한 챔버의 벽들의 위치에서 세정을 수행하는 역할을 하기도 한다. 전기 공급부와 가열 요소(14) 간의 간섭 발생은, 열교환 유체에 의한 가열에 의하여 방지된다. 또한, 증착 온도는 지지대(5)의 플레이트(7)에 장착되는 가열 부재(55)에 의하여 제어될 수 있다. 가열 요소(55)는 기판이 선택된 온도로 유지되는 것을 가능하게 하고, 또한 챔버(4) 내의 가스들이 선택된 온도로 유지되는 것을 가능하게 한다. 개별적으로 제공된 유입부를 통하여 적어도 두 가지의 반응성 가스들을 챔버 안으로 동시에 도입시키는 것이 가능하게 된다.
1: 처리 장치 2: 몸체
3: 커버 4: 챔버
5: 지지대 6: 베이스
7: 플레이트

Claims (16)

  1. 제어되는 압력 및 온도를 갖는 챔버(chamber; 4) 및 챔버(4) 내에 제공된 기판 지지대(substrate support; 5)를 포함하는 기판(substrate) 처리용 장치(1)로서,
    챔버(4)는 가스 유입부(gas inlet) 및 상측 챔버 벽(upper chamber wall; 30)을 포함하고, 상측 챔버 벽에는 제1 유입부(first inlet; 11)에 연결된 복수의 제1 채널(first channel; 45)들과 제2 유입부(second inlet; 12)에 연결된 복수의 제2 채널(second channel; 37)들이 제공되며, 제1 채널 및 제2 채널은 챔버(4) 안으로 개방되고 또한 상측 벽(upper wall; 30)에 규칙적으로 분포되고,
    상기 기판 처리용 장치는 상측 벽(30)을 가열할 수 있는 가열 요소(heating element; 14), 및 상측 벽(30)과 기판 지지대(5) 사이에 제공된 가스 방출 링(49)을 포함하며, 상측 벽(30)은, 상측 벽(30)과 기판 지지대(5) 간에 전압을 인가할 수 있도록, 전기적으로 도전성이며 또한 기판 지지대(5)에 대해 절연되고,
    상기 가열 요소(14)는, 상측 벽(30)과 유입부들(11, 12)을 가열하기 위하여 상측 벽(30) 위에 제공되고,
    상기 기판 처리용 장치는 면(face)에 요부가 한정된 몸체(2)와, 상기 챔버(4)를 형성하기 위하여 상기 면에서 요부를 폐쇄하는 커버(3)를 포함하며,
    상기 커버(3)는 하측 부분(9)과, 상기 하측 부분 상에 안착되는 주 부분(main portion; 10)을 포함하고,
    상기 상측 챔버 벽(30)은 상기 가스 방출 링(49)과 상기 커버의 주 부분(10)의 하측 표면 사이에 보유되며,
    상기 커버(3)의 주 부분(10)은 상기 챔버(4) 반대측에 있는 주 표면으로부터 제공된 원형의 오목부(concavity; 13)를 포함하고,
    상기 가열 요소(14)는 상기 원형의 오목부(13) 안에 배치된 것을 특징으로 하는, 기판 처리용 장치.
  2. 제 1 항에 있어서,
    유입부들(11, 12) 중의 적어도 하나는 상류에서 증발기(evaporator)에 연결된, 기판 처리용 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    기판 처리용 장치는 상측 벽(30)에 연결된 배출부를 포함하는 적어도 하나의 고주파 발생기(high-frequency generator; 56)를 포함하고, 그 고주파 발생기의 접지부는 기판의 지지대(5)에 연결된, 기판 처리용 장치.
  4. 제 1 항 또는 제 2 항에 있어서,
    기판의 지지대(5)는, 기판의 온도를 제어하기 위하여 제어되는 온도를 갖는 적어도 하나의 요소(55)를 포함하는, 기판 처리용 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상측 벽(30)은 제1 채널(45)들을 형성하는 복수의 핀(44)들을 포함하는, 기판 처리용 장치.
  6. 제 5 항에 있어서,
    핀(44)들은 상측 벽(30)에 강제끼움된(force-fitted), 기판 처리용 장치.
  7. 제 1 항 또는 제 2 항에 있어서,
    가스 방출 링(49)은 전기적으로 절연성인 재료로 된 적어도 일부분을 포함하는, 기판 처리용 장치.
  8. 제 7 항에 있어서,
    상기 링은 단일체로 제작된, 기판 처리용 장치.
  9. 제 7 항에 있어서,
    링(49)은 세라믹 재료에 기반을 두거나 또는 세라믹 재료로 코팅된 상측 부분(upper portion; 50), 및 하측 부분(lower portion; 51)을 포함하는, 기판 처리용 장치.
  10. 제 1 항 또는 제 2 항에 있어서,
    가스 방출 링(49)은 챔버(4)와 소통된 적어도 열 개의 개구(51d)들을 포함하고, 그 개구들은 링의 원주에 걸쳐서 분포되어 가스 방출율의 벡터 범위(vector range)가 챔버의 수직 축에 대해 축대칭으로 되며 또한 가스들의 유동이 고찰되는 범위 내의 층류 유형(laminar type)으로 되는, 기판 처리용 장치.
  11. 제 1 항 또는 제 2 항에 있어서,
    가스 방출 링(49), 챔버의 상측 벽(30), 및 가열 요소(14)는 평행한, 기판 처리용 장치.
  12. 제 1 항 또는 제 2 항에 있어서,
    가열 요소(14)는 열교환 유체를 포함하는, 기판 처리용 장치.
  13. 제 1 항 또는 제 2 항에 있어서,
    가열 요소(14)는 적외선 램프를 포함하는, 기판 처리용 장치.
  14. 제 1 항 또는 제 2 항에 있어서,
    가열 요소(14)는 세라믹 재료를 기반으로 한 하우징 내에 절연된 저항기(resistor)를 포함하는, 기판 처리용 장치.
  15. 제 1 항에 따른 기판 처리용 장치의 챔버(4) 내에서 기판을 처리하기 위한 방법으로서, 기판은 챔버 내의 지지대(5)에 의하여 지지되고,
    가스 유입부들(11, 12)은 응축 온도보다 높은 온도로 가열되며, 그 가열은 열 교환 유체에 의하여 수행되고;
    가스들을 유입부들을 통하여 유입되되, 유입부들 아래에 제공된 챔버의 상측 벽(30)을 통하여 도입되고, 그 도입은 가스 유형에 따라서 상이하고 또한 챔버 안으로 연장된 구멍들(45, 37)을 통하여 이루어지며, 그 구멍들을 규칙적으로 분포되고;
    플라즈마를 발생시키는 것이 요망된다면, 고주파수의 전압이 지지대에 대한 관계로서 상측 벽에 인가되는, 기판 처리 방법.
  16. 삭제
KR1020107026592A 2008-04-28 2009-04-22 화학적 기상 처리를 위한 장치 및 방법 KR101639690B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0802375A FR2930561B1 (fr) 2008-04-28 2008-04-28 Dispositif et procede de traitement chimique en phase vapeur.
FR0802375 2008-04-28

Publications (2)

Publication Number Publication Date
KR20110036702A KR20110036702A (ko) 2011-04-08
KR101639690B1 true KR101639690B1 (ko) 2016-07-14

Family

ID=40303474

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107026592A KR101639690B1 (ko) 2008-04-28 2009-04-22 화학적 기상 처리를 위한 장치 및 방법

Country Status (5)

Country Link
US (1) US8967081B2 (ko)
EP (1) EP2271790B1 (ko)
KR (1) KR101639690B1 (ko)
FR (1) FR2930561B1 (ko)
WO (1) WO2009136019A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9267742B2 (en) 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
DE102012215513A1 (de) * 2012-08-31 2014-03-06 J. Schmalz Gmbh Greifvorrichtung
FR3002241B1 (fr) 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
FR3002242B1 (fr) * 2013-02-21 2015-04-03 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
CN105088334B (zh) * 2014-04-28 2018-01-09 北京北方华创微电子装备有限公司 顶盖装置及工艺设备
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
FR3056992B1 (fr) 2016-10-04 2022-03-11 Unity Semiconductor Procede d'injection d'especes chimiques en phase gazeuse sous forme pulsee avec plasma
FR3056993B1 (fr) 2016-10-04 2018-10-12 Kobus Sas Dispositif pour amener un gaz dans un reacteur de depot chimique en phase gazeuse
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
FR3070399B1 (fr) 2017-08-29 2020-09-25 Kobus Sas Procede pour le depot d'un materiau isolant dans un via, etreacteur de cvd pulse mettant en oeuvre ce procede
US20190226089A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. High temperature faceplate with hybrid material design
CN108807127B (zh) * 2018-06-01 2020-03-31 北京北方华创微电子装备有限公司 上电极组件、反应腔室以及原子层沉积设备
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
CN114464519B (zh) * 2021-12-27 2024-03-29 拓荆科技股份有限公司 抽气环及半导体处理装置
WO2024097853A1 (en) * 2022-11-03 2024-05-10 Lam Research Corporation Segregated reactant delivery using showerhead and shroud

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500613A (ja) 1997-05-27 2002-01-08 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 水平式反応器用の端部用副反射器
JP2003518199A (ja) 1999-12-22 2003-06-03 アイクストロン、アーゲー 化学気相成膜反応室及びそのための処理室
JP2003213421A (ja) 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4100879A (en) * 1977-02-08 1978-07-18 Grigory Borisovich Goldin Device for epitaxial growing of semiconductor periodic structures from gas phase
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
CH689767A5 (de) * 1992-03-24 1999-10-15 Balzers Hochvakuum Verfahren zur Werkstueckbehandlung in einer Vakuumatmosphaere und Vakuumbehandlungsanlage.
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5423918A (en) * 1993-09-21 1995-06-13 Applied Materials, Inc. Method for reducing particulate contamination during plasma processing of semiconductor devices
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
KR100428428B1 (ko) * 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5933314A (en) * 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
AU2001242363A1 (en) * 2000-02-04 2001-08-14 Aixtron Ag Device and method for depositing one or more layers onto a substrate
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
KR101004222B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
JP5010781B2 (ja) * 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
KR20020023784A (ko) * 2001-12-18 2002-03-29 김중근 수직형 cnc 밀링 머신
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP2003268552A (ja) * 2002-03-18 2003-09-25 Watanabe Shoko:Kk 気化器及びそれを用いた各種装置並びに気化方法
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100629172B1 (ko) * 2004-11-08 2006-09-27 삼성전자주식회사 막 형성 장치
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070128861A1 (en) * 2005-12-05 2007-06-07 Kim Myoung S CVD apparatus for depositing polysilicon
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500613A (ja) 1997-05-27 2002-01-08 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 水平式反応器用の端部用副反射器
JP2003518199A (ja) 1999-12-22 2003-06-03 アイクストロン、アーゲー 化学気相成膜反応室及びそのための処理室
JP2003213421A (ja) 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
KR20110036702A (ko) 2011-04-08
FR2930561B1 (fr) 2011-01-14
EP2271790A2 (fr) 2011-01-12
FR2930561A1 (fr) 2009-10-30
WO2009136019A2 (fr) 2009-11-12
WO2009136019A3 (fr) 2009-12-30
EP2271790B1 (fr) 2016-06-15
US8967081B2 (en) 2015-03-03
US20110143551A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
KR101639690B1 (ko) 화학적 기상 처리를 위한 장치 및 방법
CN208954934U (zh) 半导体处理系统
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
JP7259017B2 (ja) Rfシールドが埋め込まれた半導体基板支持体
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
KR101165110B1 (ko) 화학 기상 증착 시스템에서 가스를 분배하기 위한 차단기플레이트 우회로
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
US20070101940A1 (en) Vaporizer and semiconductor processing apparatus
US20220148894A1 (en) Multi-zone semiconductor substrate supports
CN102077338A (zh) 用于低温pecvd应用的基座加热器
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
WO2018208645A1 (en) Bevel etch profile control
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
KR101255719B1 (ko) 플라즈마 전극과 인젝터가 일체형으로 제조된 가스분사장치및 이를 포함하는 기판처리장치
US20220293453A1 (en) Multi-zone semiconductor substrate supports
KR101878665B1 (ko) 기판 처리 방법
WO2023200465A1 (en) Showerhead assembly with heated showerhead
KR20170133671A (ko) 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190617

Year of fee payment: 4