CN208954934U - 半导体处理系统 - Google Patents

半导体处理系统 Download PDF

Info

Publication number
CN208954934U
CN208954934U CN201820733434.6U CN201820733434U CN208954934U CN 208954934 U CN208954934 U CN 208954934U CN 201820733434 U CN201820733434 U CN 201820733434U CN 208954934 U CN208954934 U CN 208954934U
Authority
CN
China
Prior art keywords
channel
adapter
processing system
panel
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201820733434.6U
Other languages
English (en)
Inventor
陈天发
D·卢博米尔斯基
S·郑
S·朴
R·W·卢
P·范
E·C·苏亚雷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN208954934U publication Critical patent/CN208954934U/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

公开了一种半导体处理系统。示例性半导体处理系统可以包括处理腔室,并且可以包括与处理腔室耦接的远程等离子体单元。示例性系统还可以包括与远程等离子单元耦接的适配器。适配器可以包括第一端和与第一端相对的第二端。适配器可以在第一端处限定通向中心通道的开口,并且中心通道可以由第一横截表面面积来表征。适配器可以在第二端处限定从第二通道离开的出口,并且适配器可以在适配器内、在第一端与第二端之间限定中心通道与第二通道之间的过渡部。适配器可以限定过渡部与适配器的第二端之间的第三通道,并且第三通道可与中心通道和第二通道流体隔离。

Description

半导体处理系统
技术领域
本技术涉及半导体系统、工艺和设备。更具体地,本技术涉及用于在系统和腔室内递送前驱物的系统和方法。
背景技术
集成电路通过在基板表面上产生复杂地图案化的材料层的工艺制成。在基板上产生图案化的材料要求用于暴露材料的去除的受控方法。化学蚀刻用于多种目的,包括将光刻胶中的图案转移到下方层、将层薄化,或将已存在于表面上的特征的侧向尺寸薄化。通常,期望具有比蚀刻另一材料更快地蚀刻一种材料以促进例如图案转移工艺或个别材料移除的蚀刻工艺。这种蚀刻工艺被称为对第一材料有选择性。由于材料、电路和工艺的多样性,蚀刻工艺已发展成具有面向于多种材料的可选择性。
蚀刻工艺可基于工艺中使用的材料而称为湿法蚀刻或干法蚀刻。湿法HF蚀刻优选地移除了在其他电介质和材料上方的氧化硅。然而,湿法工艺可能难以穿透一些受限沟槽并有时还可能使剩余的材料变形。干法蚀刻工艺可以穿透到复杂的特征和沟槽中,但是可能无法提供可接受的顶部-底部轮廓。随着在下一代器件中器件大小不断缩小,系统将前驱物递送到腔室中并通过腔室的方式可能会造成越来越大的影响。由于处理条件的均匀性的重要性不断增加,腔室设计和系统设置对生产的器件的质量有重要作用。
因此,需要可用于产生高质量器件和结构的改善的系统和方法。这些和其他需要通过本技术来解决。
实用新型内容
示例性半导体处理系统可以包括处理腔室,并且可以包括与处理腔室耦接的远程等离子体单元。示例性系统还可以包括与远程等离子单元耦接的适配器。适配器可以包括第一端和与第一端相对的第二端。适配器可以在第一端处限定通向中心通道的开口,并且中心通道可以由第一横截表面面积来表征。适配器可以在第二端处限定从第二通道离开的出口,并且适配器可以在适配器内、在第一端与第二端之间限定中心通道与第二通道之间的过渡部。适配器可以限定过渡部与适配器的第二端之间的第三通道,并且第三通道可在适配器内与中心通道和第二通道流体隔离。
在一些实施方式中,第二通道可以由小于第一横截面积的第二横截面积来表征。第二通道可以包括从中心通道延伸的多个通道。适配器可进一步限定提供用来进出第三通道的端口。系统可进一步包括耦接在适配器与处理腔室之间的隔离器。隔离器可以包括围绕隔离器通道的环形构件,并且隔离器通道可与第二通道和第三通道流体耦接。在一些方式中,隔离器可以包括陶瓷材料。系统还可包括耦接在隔离器与处理腔室之间的混合歧管。混合歧管可以由具有的直径等于隔离器通道的直径的入口来表征。在实施方式中,混合歧管的入口可过渡到混合歧管的锥形区段。混合歧管的锥形区段可过渡到混合歧管的扩口区段,扩口区段延伸到混合歧管的出口。
本技术还包括半导体处理系统。系统可以包括远程等离子单元,并且还可包括处理腔室。处理腔室可以包括气体箱,气体箱限定中心通道。处理腔室可以包括阻挡板,阻挡板与气体箱耦接,并且阻挡板可以限定穿过阻挡板的多个孔。处理腔室可以包括面板,面板在面板的第一表面处与气体箱耦接。处理腔室还可包括离子抑制元件,离子抑制元件在面板的与面板的第一表面相对的第二表面处与面板耦接。
在一些实施方式中,系统还可包括加热器,加热器围绕耦接到气体箱的混合歧管在外部耦接到气体箱。气体箱可以从上方限定容积,并且阻挡板可以从侧面和下方限定容积。在实施方式中,气体箱、面板和离子抑制元件可直接地耦接在一起。在一些实施方式中,面板可以由沿着面板的竖直横截面的第一直径和第二直径来表征,并且面板可以限定面板的第一表面的内部上的突出部,突出部延伸到由第二直径表征的面板的内部区域。阻挡板可以延伸到面板的所述内部区域中,并且阻挡板可以由在第二直径的百分之五以内的直径来表征。面板的第一表面和面板的第二表面可以由第一直径来表征。在一些实施方式中,气体箱可以沿着气体箱的与面板接触的表面限定多个环形沟槽,并且离子抑制元件可以沿着离子抑制元件的与面板接触的表面限定多个环形沟槽。
本技术还涵盖通过半导体处理系统来递送前驱物的方法。方法可以包括在远程等离子体单元中形成含氟前驱物的等离子体;方法可以包括使含氟前驱物的等离子体流出物流入适配器中。方法可以包括使含氢前驱物流入适配器中,并且适配器可配置为维持通过适配器流体隔离的含氟前驱物和含氢前驱物的等离子流出物。方法还可包括使含氟前驱物和含氢前驱物的等离子体流出物流入混合歧管中,混合歧管配置为混合含氟前驱物和含氢前驱物的等离子流出物。在一些实施方式中,方法还可包括使含氟前驱物和含氢前驱物的混合等离子体流出物流入处理腔室中。
这种技术可相较常规的系统和技术而提供许多益处。例如,通过直接地耦接腔室部件,可通过腔室提供更均匀的加热以限制或防止在腔室部件上的颗粒沉积。另外,通过利用在腔室外产生蚀刻剂物质的部件,可相较传统的系统而更均匀地提供通向基板的混合和递送。这些和其他实施方式以及它们的许多优点和特征结合以下描述和随附附图而更详细地描述。
附图说明
对所公开的技术的本质和优点的进一步理解可参考本说明书其余部分和附图来实现。
图1示出了根据本技术的实施方式的示例性处理系统的俯视平面图。
图2A示出了根据本技术的实施方式的示例性处理腔室的剖视示意图。
图2B示出了根据本技术的实施方式的示例性喷头的详细图。
图3示出了根据本技术的实施方式的示例性喷头的仰视平面图。
图4示出了根据本技术的实施方式的示例性处理系统的示意性剖视图。
图5A示出了根据本技术的实施方式的阻挡板的示意性立体图。
图5B示出了根据本技术的实施方式的穿过阻挡板的示意性流动轮廓。
图6示出了根据本技术的实施方式的示例性处理腔室的示意性部分剖视图。
图7示出了根据本技术的实施方式的将前驱物递送通过处理腔室的方法的操作。
包括数个附图而作为示意图。将理解,附图是出于说明目的的,并且除非明确表明为按比例绘制,否则不考虑为按比例绘制。另外,作为示意图提供的附图用来帮助进行理解,并且与实际表示相比而可能没有包括所有的方面或信息,并出于说明目的而可能包括夸大材料。
在附图中,类似的部件和/或特征可以具有相同附图标记。另外,相同类型的各种部件可通过在附图标记之后加上用于区分类似部件的字母来却分。如果本说明书中仅使用第一附图标记,那么不管字母如何,描述都适用于具有相同第一附图标记的类似部件中的任一者。
具体实施方式
本技术包括用于执行半导体制造操作的半导体处理系统、腔室和部件。在半导体制造期间执行的许多干法蚀刻操作可能涉及多种前驱物。在当以各种方式通电和组合时,可将这些蚀刻剂递送到基板以移除或修改基板的各方面。传统的处理系统可以多种方式提供前驱物,诸如用于蚀刻。提供增强的前驱物或蚀刻剂的一种方式是在将前驱物递送通过处理腔室并到达诸如晶片的基板以进行处理之前通过远程等离子体单元提供所有的前驱物。然而,此过程的一个问题是不同的前驱物可能与不同的材料发生反应,这可能导致远程等离子体单元的损坏。例如,增强的含氟前驱物可以与铝表面反应,但不会与氧化物表面反应。增强的含氢前驱物可能不与远程等离子体单元内的铝表面反应,但可能与氧化物涂层反应并移除氧化物涂层。因此,如果两种前驱物被递送通过远程等离子单元,那么它们可能会损坏单元内的涂层或衬里。
传统的处理还可以通过远程等离子体装置递送一种前驱物以用于等离子体处理,并且可以将第二前驱物直接地递送到腔室中。然而,此工艺的问题是前驱物的混合可能是困难的,并且可能不会在晶片或基板上提供均匀的蚀刻剂。这可能导致工艺不能均匀地在基板的表面上执行,从而可能在继续图案化和成形时导致出现器件问题。
本技术可通过利用配置为使前驱物在它们被递送到腔室中之前混合的部件和系统来克服这些问题,同时仅通过远程等离子体单元来递送一种蚀刻剂前驱物,但是多种前驱物也可以流过远程等离子体单元,诸如载气或其他蚀刻剂前驱物。特定的旁路方案可以在将前驱物递送到处理腔室之前充分混合前驱物。这可以允许在保护远程等离子体单元的同时执行均匀的工艺。本技术的腔室还可以包括使通过腔室的热导率最大化的部件配置,并且通过以特定方式耦接部件来提高维修的容易性。
虽然其余的公开内容将常规地识别利用所公开的技术的特定的蚀刻工艺,但是将容易理解,系统和方法同样适用于可能在所述腔室中发生的沉积和清洁工艺。因此,该技术不应被认为是如此限于仅用于蚀刻工艺。本公开将讨论可以与本技术一起使用以执行某些移除操作的一个可能的系统和腔室,然后描述根据本技术的实施方式的对此系统的另外的变化和调整。
图1示出了根据实施方式中的具有沉积腔室、蚀刻腔室、烘烤腔室和固化腔室的处理系统100的一个实施方式的俯视平面图。在附图中,一对前开式联合晶片盒(FOUP) 102供应多种大小的基板,这些基板是由机器人臂104接收并在放入到基板处理腔室 108a-f中的一个中之前放入到低压保持区域106中,基板处理腔室108a-f定位在串联区段109a-c中。第二机器人臂110可以用于将基板晶片从保持区域106传输到基板处理区域108a-f并传输回。每个基板处理腔室108a-f可装配来执行许多基板处理操作,这些基板处理操作除了循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预先清洁、脱气、取向和其他基板工艺之外,还包括了本文中描述的干法蚀刻工艺。
基板处理腔室108a-f可以包括用于使电介质膜在基板晶片上沉积、退火、固化和/或蚀刻的一个或多个系统部件。在一个配置中,两对处理腔室(例如,108c-d和108e-f) 可用于将电介质膜沉积在基板上,并且第三对处理腔室(例如,108a-b)可用于使沉积的电介质退火/固化。在另一配置中,所有三对腔室(例如,108a-f)可以配置为蚀刻基板上的电介质膜。所述工艺中的任一种或多种可以在与不同的实施方式中示出的制造系统分开的腔室中进行。将了解,系统100还设想了用于电介质膜的沉积腔室、蚀刻腔室、烘烤腔室和固化腔室的另外配置。
图2A示出了具有位于处理腔室内的分隔开的等离子体产生区域的示例性工艺腔室系统200的剖视图。在膜蚀刻期间,例如氮化钛、氮化钽、钨、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等工艺气体可通过气体入口组件205流入第一等离子体区域215中。远程等离子体系统(RPS)201可视情况包括在系统中,并且可以处理第一气体,随后,所述第一气体行进通过气体入口组件205。入口组件205可包括两个或更多个不同的气体供应通道,其中第二通道(未示出)可绕过RPS 201(如果包括的话)。
示出冷却板203、面板217、离子抑制器223、喷头225和具有基板265设置在其上的基板支撑件255,并且它们各自可根据实施方式而包括。底座265可以具有热交换通道,热交换流体流过该热交换通道以控制基板的温度,该底座可以在处理操作期间被操作以加热和/或冷却基板或晶片。可包括铝、陶瓷或它们的组合的底座265的晶片支撑盘也可以使用嵌入式电阻加热器元件被电阻加热来实现相对高的温度,诸如从高达或约 100℃至高于或约1100℃。
面板217可以是角锥形、圆锥形或其他类似的结构,其中窄顶部扩展到宽底部。图所示,面板217可另外地是平坦的,并且包括用于分配工艺气体的多个通道。取决于 RPS201的使用的等离子体产生气体和/或等离子体激发物质可穿过面板217中的多个孔,如图2B所示,以更均匀地递送到第一等离子体区域215中。
示例性配置可以包括使气体入口组件205通向由面板217与第一等离子体区域215分隔开的气体供应区域258,使得气体/物质通过在面板217中的孔流入第一室等离子体区域215。结构和操作特征可被选择以防止等离子体从第一等离子体区域215大量地回流到供应区域258、气体入口组件205和流体供应系统210中。面板217(或腔室的导电顶部)和喷头225被示出为具有定位在特征之间的绝缘环220,这允许了AC电势相对于喷头225和/或离子抑制器223施加至面板217。可将绝缘环220定位在面板217与喷头225和/或离子抑制器223之间,从而使得电容耦接等离子体(CCP)能够形成在第一等离子体区域中。挡板(未示出)可附加地位于第一等离子体区域215中,或以其他方式与气体入口组件205耦接,以影响流体通过气体入口组件205进入该区域的流动。
离子抑制器223可以包括板或其他几何结构,板或其他几何结构在整个结构上限定了多个孔,这些孔配置为抑制离子带电物质迁移出第一等离子体区域215,同时允许不带电荷的中性或自由基物质穿过离子抑制器223进入抑制器与喷头之间的活化气体递送区域。在实施方式中,离子抑制器223可以包括具有多种孔配置的多孔板。这些不带电荷的物质可能包括高反应性的物质,这些物质通过孔与较低反应性的载气一起运输。如上所述,离子物质通过孔的迁移可能被减少,并且在某些情况下可能被完全地抑制。控制穿过离子抑制器223的离子物质的量可有利地提供对与下面的晶片基板接触的气体混合物的增加的控制,这进而可增加对气体混合物的沉积和/或蚀刻特性的控制。例如,气体混合物的离子浓度的调整可显著地改变其蚀刻选择性,例如,SiNx:SiOx蚀刻比率、 Si:SiOx蚀刻比率等。在执行沉积的替代实施方式中,这也可以使电介质材料的共形到可流动型沉积的平衡偏移。
在离子抑制器223中的多个孔可配置为控制活化气体(即,离子型、自由基和/或中性物质)通过离子抑制器223。例如,孔深宽比、或者说是孔直径与长度的比和/或孔的几何形状可控制成使得通过离子抑制器223的活化气体中的带离子电荷的物质的流量减少。在离子抑制器223中的孔可以包括面对等离子体激发区域215的锥形部分和面对喷头225的圆柱形部分。可设定圆柱形部分的形状和尺寸以控制通向喷头225的离子物质的流量。还可将可调整电偏置施加至离子抑制器223作为控制通过抑制器的离子物质的流量的另外手段。
离子抑制器223可以用来减少或消除从等离子体生成区域行进到基板的带离子电荷的物质的量。不带电荷的中性和自由基物质仍可穿过在离子抑制器中的开口来与基板反应。应注意,在实施方式中可不执行对在基板周围的反应区域中的离子带电物质的完全消除。在某些情况下,离子物质旨在到达基板以执行蚀刻和/或沉积工艺。在这些情况下,离子抑制器可有助于控制反应区域中的离子物质的浓度处在有助于工艺的水平。
与离子抑制器223结合的喷头225可允许存在于第一等离子体区域215中的等离子体避免直接激发基板处理区域233中的气体,同时仍允许激发物质从腔室等离子体区域215行进到基板处理区域233中。以这种方式,腔室可以配置为防止等离子体接触正在被蚀刻的基板255。这可以有利地保护在基板上图案化的各种复杂的结构和膜,如果与产生的等离子体直接接触,这些结构和膜可能会被损坏、错位或翘曲。另外,当允许等离子体接触基板或接近基板水平时,氧化物物质蚀刻的速率可能提高。因此,如果暴露的材料区域是氧化物,那么可通过维持等离子体远离基板进一步保护此材料。
处理系统可进一步包括与处理腔室电耦接的电源240,以向面板217、离子抑制器223、喷头225和/或底座265提供电力来在第一等离子体区域215或处理区域233中产生等离子体。电源可以配置为根据执行的工艺来向腔室递送可调量的电力。这种配置可允许可调谐的等离子体用于正在执行的工艺中。与通常具有开或关功能的远程等离子体单元不同,可调谐的等离子体可以配置为向等离子体区域215递送特定量的电力。这进而可以允许形成特定等离子体特性,使得前驱物可以特定方式离解离来增强由这些前驱物产生的蚀刻分布。
等离子体可以在喷头225上方的腔室等离子体区域215或喷头225下方的基板处理区域233中点燃。在实施方式中,在基板处理区域233中形成的等离子体可以是形成有充当电极的底座的DC偏压等离子体。等离子体可存在于腔室等离子体区域215中以通过例如含氟前驱物或其他前驱物的流入而产生自由基前驱物。典型地在射频(RF)范围内的AC电压可以施加在处理腔室的导电顶部(例如面板217)与喷头225和/或离子抑制器223之间以在沉积期间点燃腔室等离子体区域215中的等离子体。RF电源可以产生13.56MHz的高RF频率,但也可以单独或与13.56MHz频率组合地产生其他频率。
图2B示出了影响穿过面板217的处理气体分配的特征的详细图253。如图2A和2B所示,面板217、冷却板203和气体入口组件205相交以限定气体供应区域258,工艺气体可从气体入口205递送到气体供应区域258中。气体可填充气体供应区域258并通过面板217中的孔259流动到第一等离子体区域215中。孔259可以配置为以基本上单向的方式引导流动,使得工艺气体可流入处理区域233中,但可部分或完全地防止在越过面板217之后回流到气体供应区域258中。
用于处理腔室区段200中的气体分配组件(诸如喷头225)可以称为双通道喷头(DCSH),并另外详细示出在图3中所述的实施方式中。双通道喷头可以提供用于蚀刻工艺,从而允许在处理区域233外的蚀刻剂在递送到处理区域中前分离,以便提供与腔室部件以及彼此的受限相互作用。
喷头225可以包括上板214和下板216。可将板彼此耦接以在板之间限定容积218。板的耦接可如此来提供穿过上板和下板的第一流体通道219、以及穿过下板216的第二流体通道221。所形成的通道可配置成仅经由第二流体通道221来提供从容积218穿过下板216的流体进出,并且第一流体通道219可与在板与第二流体通道221之间的容积 218流体隔离。容积218可为可供流体穿过气体分配组件225一侧来进出的。
图3是根据实施方式的与处理腔室一起使用的喷头325的仰视图。喷头325可与图2A中的喷头225对应。示出第一流体通道219的视图的穿孔365可以具有多个形状和配置,以便控制和影响穿过喷头225的前驱物流动。示出第二流体通道221的视图的小孔375可基本上均匀地分配在喷头的表面上方,甚至是在穿孔365之间,并可有助于在前驱物离开喷头时,使前驱物比其他配置更均匀的混合。
图4示出了根据本技术的实施方式的示例性处理系统400的示意性剖视图。系统400 可以包括图2中所示的腔室的变型,并且可以包括该附图中所示的部件的一些或全部。系统400可以包括处理腔室405和远程等离子体单元410。远程等离子体单元410可以用一个或多个部件与处理腔室405耦接。远程等离子单元410可以与适配器415、隔离器420或混合歧管425中的一个或多个耦接。混合歧管425可以与处理腔室405的顶部耦接,并且可以与处理腔室405的入口耦接。
适配器415可以在第一端411处与远程等离子单元410耦接,并且可以在与第一端411相对的第二端412处与隔离器420耦接。穿过适配器415可以限定一个或多个通道。在第一端411处可以限定通向第一通道或中心通道413的开口或端口。中心通道413可以在适配器415内限定在居于中心的位置,并且可以由在垂直于穿过适配器415的中心轴线的方向上的第一横截面表面面积表征,中心轴线在来自等离子体单元410的流动的方向上。中心通道413的直径可以与远程等离子体单元410的出口端口相等或相同。中心通道413可以由从第一端411到第二端412的长度来表征。中心通道413可延伸通过适配器415达小于从第一端411到第二端412的长度的长度。例如,中心通道413可以延伸小于从第一端411到第二端412的长度的一半,中心通道413可以延伸从第一端411 到第二端412的长度的一半,中心通道413可以延伸超过从第一端411到第二端412的长度的一半,或中心通道413可以延伸从适配器415的第一端411到第二端412的长度的约一半。
中心通道413可延伸到适配器415内的过渡部414。过渡部可包括跨中心通道413的一部分延伸的实心块,或过渡部414可包括限定通过适配器415的附加的通路的孔口板,或过渡部414可包括至少部分地阻挡通过中心通道413的流动的任何其他物理材料。过渡部414可以提供通向可以从过渡部414延伸到第二端412的一个或多个第二通道416 的通路。第二通道416可以由在垂直于穿过适配器415的中心轴线的方向上的第二横截表面面积来表征。在实施方式中,第二横截表面面积可以小于第一横截表面面积。第二通道416可延伸到在第二端412处离开适配器415的出口,并且可提供适配器415的用于通过中心通道413从远程等离子体单元410递送到适配器415中的前驱物诸如等离子体流出物的出口。
第二通道416可以包括在通过适配器415的流动方向上围绕中心轴线限定的环形或半环形通道。第二通道416还可包括围绕穿过适配器415的在流动方向上的中心轴线径向地限定的多个通道,所述通道提供来自中心通道413的流体通路并从中心通道413延伸到第二端412。过渡部414可限定围绕过渡部414的外部的多个孔口,并且可以由具有实心内部区域的孔口板和围绕外部区域限定的一个或多个孔口来表征。孔口可以是具有月牙形或半环形形状的单个孔口,或具有圆形、卵形或其他几何形状并提供通向第二通道416的通路的多个孔口。在实施方式中,过渡部414的孔口可以由等于第二通道416 的半径的半径来表征。
适配器415还可以限定第三通道418,第三通道可以位于过渡部414与第二端412之间。第三通道418还可以在第二端412处提供出口,但是出口可以用于替代地从远程等离子单元410递送的单独的前驱物。例如,可从沿着适配器415的外表面(诸如侧面) 限定的端口417流体地接取第三通道418,这可绕过远程等离子单元410。端口417可沿着适配器415的长度而处在过渡部414处或其下方。第三通道418可通过适配器415 递送前驱物并从第二端412递送出。第三通道418可以限定适配器415的在过渡部414 与第二端412之间的区域中。在实施方式中,第三通道418可能无法从中心通道413、过渡部414或第二通道416进入。第三通道418可以配置为维持前驱物与从远程等离子体单元410递送到中心通道413中的等离子体流出物流体隔离。前驱物可不接触等离子体流出物,直到通过第二端412离开适配器415。第三通道418可以包括适配器415中限定的一个或多个通道。第三通道418可以位于适配器415内的中心位置处,并且可以与第二通道416相关联。例如,在实施方式中,第二通道416可以围绕第三通道418同心地对准。第二通道416也可以设置在第三通道418附近。适配器415还可限定一个或多个孔419,所述一个或多个孔可以允许适配器与隔离器420耦接或通过隔离器420耦接。
在实施方式中,隔离器420可以与适配器415的第二端412耦接。隔离器420可以是或包括围绕隔离器通道421的环形构件。隔离器通道421可以在通过适配器415的流动方向与中心轴线轴向地对准。隔离器通道421可以由在垂直于通过隔离器420的流动方向的方向上的第三横截表面面积来表征。第三横截面积可以等于、大于或小于中心通道413的第一横截面积。在实施方式中,隔离器通道421可以由大于、等于通过适配器415的中心通道413的直径或与之大致相同的直径来表征。隔离器通道421可提供来自第二通道416的流体通路并还可提供来自第三通道418的流体通路。在实施方式中,隔离器通道421可以为从远程等离子体单元410递送的等离子体流出物和递送到端口417 并通过第三通道418的附加的前驱物提供第一混合区域。隔离器420还可以限定一个或多个通道422,所述一个或多个通道可以允许耦接到隔离器420或通过隔离器420与适配器415和/或混合歧管425耦接。通道422可以与上述的孔419轴向地对准,这些孔可一起提供诸如螺栓孔的耦接点,例如,部件可通过这个孔而固定。
隔离器420可以由与适配器415、混合歧管425或任何其他腔室部件相似或不同的材料制成。在一些实施方式中,虽然适配器415和混合歧管425可由铝制成或包括铝,包括铝的氧化物、在一个或多个表面上的经处理的铝、或一些其他材料,但是隔离器420 也可以是或包括热导率比其他腔室部件低的材料。在一些实施方式中,隔离器420可以是或包括配置为在远程等离子体单元410与腔室405之间提供热中断的陶瓷、塑料或其他隔热部件。在操作期间,远程等离子体单元410可以被冷却或在相对于腔室405较低的温度下操作,而腔室405可被加热或在相对于远程等离子体单元410较高的温度下操作。提供陶瓷或隔热隔离器420可防止或限制部件之间的热、电或其他干扰。
混合歧管425可以在第一端423处与隔离器420耦接,并且可以在第二端424处与腔室405耦接。混合歧管425可以在第一端423处限定入口427。入口427可以提供来自隔离器通道421的流体通路,并且入口427可以由与隔离器通道421的直径相等或大致相同的直径来表征。入口427可通过混合歧管425来限定通道426的一部分,并且通道426可由限定穿过通道426的轮廓的一个或多个区段组成。入口427可以是在通过混合歧管425的通道426的流动方向上的第一区段。入口427可以由可小于在混合歧管425 的流动方向上的长度的一半的长度来表征。在实施方式中,入口427的长度也可以小于混合歧管425的长度的三分之一,并可以小于混合歧管425的长度的四分之一。
入口427可延伸到通道426的第二区段,第二区段可以是或可以包括锥形区段428。锥形区段428可以从等于或类似于入口427的直径的第一直径延伸到小于第一直径的第二直径。在一些实施方式中,第二直径可以是约或小于第一直径的一半。在实施方式中,锥形区段428可以由大于或约10%、大于或约20%、大于或约30%、大于或约40%、大于或约50%、大于或大于约60%、大于或约70%、大于或约80%、大于或约90%、大于或约 100%、大于或约150%、大于或约200%、大于或约300%或更大的锥形角度来表征。
锥形区段428可过渡到通道426的第三区域,第三区域可以是扩口区段429。扩口区段429可以从锥形区段428延伸到混合歧管425在第二端424处的出口。扩口区段429 可以从等于锥形区段428的第二直径的第一直径延伸到大于第一直径的第二直径。在一些实施方式中,第二直径可以是约或大于第一直径的两倍。在实施方式中,扩口区段429 可以由大于或约10%、大于或约20%、大于或约30%、大于或约40%、大于或约50%、大于或大于约60%、大于或约70%、大于或约80%、大于或约90%、大于或约100%、大于或约150%、大于或约200%、大于或约300%或更大的扩口角度来表征。
扩口区段429可以提供经由出口431通过第二端424被递送通过混合歧管425的前驱物的出口。通过混合歧管425的通道426区段可以配置为提供递送到混合歧管的前驱物的充分或彻底的混合,然后将混合的前驱物提供到腔室405中。与常规的技术不同,通过在递送到腔室之前执行蚀刻剂或前驱物混合,本系统可以在分配在腔室和基板周围之前提供具有均匀性质的蚀刻剂。以这种方式,用本技术执行的工艺可以在基板表面上具有更均匀的结果。
混合歧管425还可限定凹槽433,凹槽433可以允许适配器415、隔离器420和混合歧管425耦接。凹槽433可以与通道422和孔419轴向地对准,这可以允许用能够螺栓、紧固件或任何其他螺纹或非螺纹部件耦接三个部件,螺栓、紧固件或任何其他螺纹或非螺纹部件能够向三个部件提供压缩力以使之与位于适配器415和混合歧管425中限定的通道内的、在隔离器420的任一侧上的O形环或弹性体构件固定在一起。
腔室405可以包括呈堆叠布置的多个部件。腔室堆叠可以包括气体箱450、阻挡板460、面板470、离子抑制元件480和盖间隔件490。部件可用于将前驱物或一组前驱物分配通过腔室以使蚀刻剂或其他前驱物均匀地递送到基板以进行处理。
气体箱450可以限定腔室入口452。中心通道454可限定为穿过气体箱450以将前驱物递送到腔室405中。入口452可与混合歧管425的出口431对准。在实施方式中,入口452和/或中心通道454可以由类似直径来表征。中心通道454可以延伸穿过气体箱450并且配置为将一种或多种前驱物递送到由气体箱450从上方限定的容积457中。气体箱450可以包括第一表面453(诸如,顶表面)和与第一表面453相对的第二表面 455,诸如气体箱450的底表面。在实施方式中,顶表面453可以是平面的或实质上平面的表面。与顶表面453耦接的可以是加热器448。
在实施方式中,加热器448可以配置为加热腔室405,并且可以传导方式加热每个盖堆叠部件。加热器448可以是任何种类的加热器,包括流体加热器、电加热器、微波加热器或被配置成将热量以传导方式递送到腔室405的其他装置。在一些实施方式中,加热器448可以是或包括形成为围绕气体箱450的第一表面453的环形图案的电加热器。加热器可跨气体箱450并围绕混合歧管425而限定。加热器可以是板式加热器或电阻元件加热器,可配置为提供高达、约或大于约2,000W的热量,并且可配置为提供大于或约2,500W、大于或约3,000W、大于或约3,500W、大于或约4,000W、大于或约4,500W、大于或约5,000W或更多的热量。
加热器448可配置为产生可变腔室部件温度,该可变腔室部件温度可高达、约或大于约50℃,并且可配置为产生大于或约75℃、大于或约100℃、大于或约150℃、大于或约200℃、大于或约250℃、大于或约300℃或更高的腔室部件温度。加热器448可配置为将诸如离子抑制元件480的个别部件升高到这些温度中的任一者以促进诸如退火的处理操作。在一些处理操作中,基板可朝向离子抑制元件480升高以进行退火操作,并且加热器448可进行调节以将加热器的温度以传导方式升高到上文所述的任何特定温度,或处在表述温度中的任一者内或它们之间的任何温度范围内。
气体箱450的第二表面455可以限定气体箱的轮廓,包括从唇缘458延伸到下降部分459的凹入的突出部456,凹入的突出部可以限定气体箱450的厚度。下降部分459 可以是气体箱450从上方限定容积457的部分。气体箱450还可以限定多个凹槽461,所述凹槽可以允许阻挡板460与气体箱450耦接。阻挡板460可以由等于或类似下降部分459的直径的直径来表征。阻挡板460可以限定穿过阻挡板460的多个孔463,这些孔可以允许从容积457分配诸如蚀刻剂的前驱物,并且可以开始通过腔室405分配前驱物以均匀地递送到基板。阻挡板460可以由在阻挡板460的外径处的凸起的环形区段465 来表征。凸起的环形区段465可以为阻挡板460提供结构刚性,并且在实施方式中,可以限定容积457的侧面。阻挡板460也可以从下方限定容积457的底部。容积457可允许前驱物在穿过阻挡板460的孔463之前从气体箱450的中心通道454分配。阻挡板460 还可以限定多个孔467,这些孔可以与气体箱450的凹槽461轴向地对准。螺栓或其他螺纹或非螺纹耦接装置可以从阻挡板460的下侧用来将阻挡板460耦接到下降部分459 或气体箱450的底部侧。
面板470可以包括第一表面472和与第一表面472相对的第二表面474。面板470 可在第一表面472处与气体箱450耦接,第一表面472可接合气体箱450的唇缘458。面板470可以限定在第一表面472的内部处延伸到在面板470内限定的内部区域477的突出部473。面板470可以由沿着面板470的竖直横截面的第一直径和小于第一直径的第二直径来表征。第一直径可以是第一表面472和第二表面474的外径,并且第二直径可以是第一表面472与第二表面474之间的内部区域(例如中间区域475,如图所示) 的内径。面板470的外部轮廓可以包括围绕面板470的C形外部轮廓。
如图所示,阻挡板460和气体箱450可延伸到面板470的内部区域477中或定位在面板470的内部区域477内。阻挡板460的外径可以在面板470的内部区域477的内径的10%或更小内,并且可以小于或约8%、小于或约6%、小于或约5%、小于或约4%、小于或约3%、小于或约2%、小于或约1%、小于或约0.5%、小于或约0.1%或更小。通过维持气体箱450的下降部分459与面板470的内部区域477之间的有限的间距或距离,可以使颗粒积聚最小化,这可以减少清洁和更换时间,并减少在处理期间的污染物分布。面板470可以限定穿过面板的多个通道476,诸如之前关于腔室200所述。示出了通道 476的样本,但是在实施方式中,可以包括比所示的更多的此类通道。
离子抑制元件480可以靠近面板470的第二表面474定位,并且可以在第二表面474处与面板470耦接。离子抑制元件480可类似于上述的离子抑制器223,并且可以配置为减少离子迁移到容纳基板的腔室405的处理区域中。在实施方式中,气体箱450、面板470和离子抑制元件480可耦接在一起,并且在实施方式中,可直接地耦接在一起。通过直接地耦接部件,由加热器448产生的热量可传导通过这些部件以维持特定腔室温度,这可维持在部件之间的较少的变化。离子抑制元件480还可接触盖分隔件490,它们可一起至少部分地限定在处理期间维持基板的等离子体处理区域。
转至图5A,其示出了根据本技术的实施方式的阻挡板460的示意性立体图。如图所示,阻挡板460包括凸起的环形区段465,多个孔467穿过凸起的环形区段465限定。阻挡板460可以通过经由这些孔耦接而与气体箱450耦接。通过利用沿着外部区域耦接,阻挡板460可以维持均匀的中心轮廓,这不同于可通过中心凸台耦接到部件的许多常规的板。中心耦接可能影响通过阻挡板460的流动轮廓,这可能限制或影响通过处理腔室的分配的均匀性。孔463可被限定为穿过阻挡板460的中心区域,并且可均匀地分配在阻挡板460上。孔463可以是均匀的大小的,或可基于它们的位置而具有不同的大小。
图5B示出了根据本技术的实施方式的穿过阻挡板500的示意性流动轮廓。如图所示,阻挡板500不包括用于耦接阻挡板的任何中心凸台或孔,并且因此穿过阻挡板500 的流动轮廓在整个表面上的各个不同的半径测量处是均匀的。与中心区域在流动轮廓上的差异的原因可能是如前所述的前驱物从气体箱的中心递送,这可能会在跨板并通过孔进行侧向分配之前进行聚集。通过移除任何在中心的流动障碍,前驱物的均匀分配可通过阻挡板460而朝向处理区域和基板递送。
图6示出了根据本技术的实施方式的示例性处理腔室的示意性部分剖视图。该附图可包括图4的部分特写视图。如图所示,该附图包括了气体箱450、阻挡板460、面板 470、离子抑制元件480和盖分隔件490。图6示出了腔室部件的附加的耦接件方面,包括在部件之间提供密封能力的O形环或弹性体元件。如图所示,气体箱450限定气体箱 450中限定的多个沟槽605a、605b。沟槽605可以是围绕气体箱450限定的环形沟槽。弹性体元件可定位在沟槽内以提供气体箱450与面板470之间的密封。虽然示出了两个沟槽605,但应理解,气体箱450内可包括任何数量的沟槽。
离子抑制元件480还沿着与面板470接触的表面限定了多个沟槽610a、610b。沟槽610可以是与以上针对气体箱450讨论的类似的环形沟槽。在实施方式中,沟槽605和沟槽610可竖直地对准,并且可以与穿过部件并入的螺栓或其他耦接元件相关联以提供连接。离子抑制元件480还可以在与盖分隔件490接触的第二表面上限定多个沟槽615a、 615b。
图7示出了根据本技术的实施方式的将前驱物递送通过处理腔室的方法700的操作。方法700可以在腔室200或腔室400中执行,并且可以允许在腔室外部的改善的前驱物混合,同时保护部件免受蚀刻剂损伤。虽然腔室的部件可能暴露于随时间而可能引起磨损的蚀刻剂,但是本技术可将这些部件限制为可更容易替换和维修的那些部件。例如,本技术可限制远程等离子体单元的内部部件的暴露,这可允许将特定保护应用于远程等离子体单元。
方法700可以包括在操作705中形成含氟前驱物的远程等离子体。可以将前驱物递送到远程等离子体单元以解离而产生等离子体流出物。在实施方式中,远程等离子体单元可涂覆或衬有可承受与含氟流出物接触的氧化物或其他材料。在实施方式中,除了载气之外,不能通过远程等离子体单元递送其他蚀刻剂前驱物,这可保护单元免受损坏。配置为产生不同的蚀刻剂的等离子体流出物的其他实施方式可以衬有可能对该前驱物为惰性的不同的材料。
在操作710处,含氟前驱物的等离子体流出物可流入与远程等离子体单元耦接的适配器。在操作715,可使含氢前驱物流入适配器中。适配器可配置为维持通过适配器流体隔离的含氟前驱物和含氢前驱物的等离子流出物。在操作720处,可使含氟前驱物和含氢前驱物的等离子体流出物流入混合歧管中,混合歧管配置为混合含氟前驱物和含氢前驱物的等离子流出物,然后将产生的混合前驱物或蚀刻剂递送到半导体处理腔室中。如前所述,可使用在其他地方描述的附加的部件来控制蚀刻剂的递送和分配。将理解,标识的前驱物仅是用于在所述腔室中使用的合适的前驱物的示例。整个公开内容讨论的腔室和材料可以用于任何数量的其他处理操作,这些处理操作可受益于分离前驱物并在将其递送到处理腔室中之前将它们混合。
在先前描述中,出于解释目的,已阐述了许多细节,以便提供对本技术的各种实施方式的理解。然而,本领域的技术人员应清楚,某些实施方式可以在没有这些细节中的一些或有另外的细节的情况下实践。
在已经公开若干实施方式的情况下,本领域的技术人员将认识到,在不背离实施方式的精神的情况下,可以使用各种修改、替代配置和等效物。另外,尚未描述许多熟知的工艺和元件,以避免不必要地模糊本技术。因此,以上描述不应视为限制本技术的范围。
在提供值的范围情况下,将理解,还确切地公开在该范围的上限与下限之间的每一个居间值,至下限单位的最小分数,除非在上下文另外清楚指明。涵盖在该表述范围中的任何表述值或未表述的居间值与该表述范围中的任何其他表述值或居间值之间的任何更窄的范围。那些更小的范围的上限和下限可独立地包括或排除于所述范围,并且其中在更小的范围中包括任一限值、不包括限值或包括两个限值的每个范围也涵盖在本技术内,根据表述范围中的任何特定地排除的限值而定。在表述范围包括这些限值中的一或两个情况下,还包括排除那些所包括的限值中的任一个或两个的范围。
如本文和随附的权利要求书所用,除非在上下文另外清楚指出,否则单数形式“一个”、“一种”和“所述”包括复数指称。因此,例如,提及“层”包括多个这种层,并且提及“前驱物”包括指本领域的技术人员已知的一种或多种前驱物及其等效物,诸如此类。
另外,词语“包括”、“包含”和“含有”在本说明书和随附的权利要求书中使用时,意图表示存在表述的特征、整体、部件或操作,但它们不排除一个或多个其他特征、整体、部件、操作、动作或群组的存在或添加。

Claims (18)

1.一种半导体处理系统,所述半导体处理系统包括:
处理腔室;
远程等离子体单元,所述远程等离子体单元与所述处理腔室耦接;和
适配器,所述适配器与所述远程等离子体单元耦接,其中所述适配器包括第一端和与所述第一端相对的第二端,其中所述适配器在所述第一端处限定通向中心通道的开口,其中所述中心通道由第一横截表面面积来表征,其中所述适配器在所述第二端处限定从第二通道离开的出口,其中所述适配器在所述适配器内、在所述第一端与所述第二端之间限定所述中心通道与所述第二通道之间的过渡部,其中所述适配器限定所述过渡部与所述适配器的所述第二端之间的第三通道,并且其中所述第三通道与所述适配器内的所述中心通道和所述第二通道流体隔离。
2.如权利要求1所述的半导体处理系统,其中所述第二通道由小于所述第一横截表面面积的第二横截面积来表征。
3.如权利要求1所述的半导体处理系统,其中所述第二通道包括从所述中心通道延伸的多个通道。
4.如权利要求1所述的半导体处理系统,其中所述适配器进一步限定提供用来进出所述第三通道的端口。
5.如权利要求1所述的半导体处理系统,进一步包括耦接在所述适配器与所述处理腔室之间的隔离器,其中所述隔离器包括围绕隔离器通道的环形构件,并且其中所述隔离器通道与所述第二通道流体耦接并且所述隔离器通道与所述第三通道流体耦接。
6.如权利要求5所述的半导体处理系统,其中所述隔离器包括陶瓷。
7.如权利要求5所述的半导体处理系统,进一步包括耦接在所述隔离器与所述处理腔室之间的混合歧管。
8.如权利要求7所述的半导体处理系统,其中所述混合歧管由具有的直径等于所述隔离器通道的直径的入口来表征。
9.如权利要求8所述的半导体处理系统,其中所述混合歧管的所述入口延伸到所述混合歧管的锥形区段。
10.如权利要求9所述的半导体处理系统,其中所述混合歧管的所述锥形区段过渡到所述混合歧管的扩口区段,所述扩口区段延伸到所述混合歧管的出口。
11.一种半导体处理系统,所述半导体处理系统包括:
远程等离子体单元;和
处理腔室,所述处理腔室包括:
气体箱,所述气体箱限定中心通道,
阻挡板,所述阻挡板与所述气体箱耦接,其中所述阻挡板限定穿过所述阻挡板的多个孔,
面板,所述面板在所述面板的第一表面处与所述气体箱耦接,和
离子抑制元件,所述离子抑制元件在所述面板的与所述面板的所述第一表面相对的第二表面处与所述面板耦接。
12.如权利要求11所述的半导体处理系统,进一步包括加热器,所述加热器围绕耦接到所述气体箱的混合歧管在外部耦接到所述气体箱。
13.如权利要求11所述的半导体处理系统,其中所述气体箱从上方限定容积,并且所述阻挡板从侧面和下方限定所述容积。
14.如权利要求11所述的半导体处理系统,其中所述气体箱、所述面板和所述离子抑制元件直接地耦接在一起。
15.如权利要求11所述的半导体处理系统,其中所述面板沿着所述面板的竖直横截面由第一直径和第二直径来表征,其中所述面板限定所述面板的所述第一表面的内部上的突出部,所述突出部延伸到由所述第二直径表征的所述面板的内部区域。
16.如权利要求15所述的半导体处理系统,其中所述阻挡板延伸到所述面板的所述内部区域中,并且其中所述阻挡板由在所述第二直径的百分之五以内的直径来表征。
17.如权利要求15所述的半导体处理系统,其中所述面板的所述第一表面和所述面板的所述第二表面由所述第一直径来表征。
18.如权利要求17所述的半导体处理系统,其中所述气体箱沿着所述气体箱的与所述面板接触的表面限定多个环形沟槽,并且其中所述离子抑制元件沿着所述离子抑制元件的与所述面板接触的表面限定多个环形沟槽。
CN201820733434.6U 2017-05-17 2018-05-17 半导体处理系统 Active CN208954934U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762507533P 2017-05-17 2017-05-17
US62/507,533 2017-05-17

Publications (1)

Publication Number Publication Date
CN208954934U true CN208954934U (zh) 2019-06-07

Family

ID=64272445

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202211431121.2A Pending CN115799031A (zh) 2017-05-17 2018-05-17 用于经改善的前驱物流的半导体处理腔室
CN201810471950.0A Active CN108962714B (zh) 2017-05-17 2018-05-17 用于经改善的前驱物流的半导体处理腔室
CN201820733434.6U Active CN208954934U (zh) 2017-05-17 2018-05-17 半导体处理系统

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN202211431121.2A Pending CN115799031A (zh) 2017-05-17 2018-05-17 用于经改善的前驱物流的半导体处理腔室
CN201810471950.0A Active CN108962714B (zh) 2017-05-17 2018-05-17 用于经改善的前驱物流的半导体处理腔室

Country Status (5)

Country Link
US (1) US20180337024A1 (zh)
JP (2) JP7176860B6 (zh)
KR (2) KR102524104B1 (zh)
CN (3) CN115799031A (zh)
TW (3) TWM575912U (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108962714A (zh) * 2017-05-17 2018-12-07 应用材料公司 用于经改善的前驱物流的半导体处理腔室

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102610827B1 (ko) 2018-12-20 2023-12-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
KR20220061228A (ko) * 2019-09-13 2022-05-12 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
US11626303B2 (en) 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
US11875974B2 (en) * 2020-05-30 2024-01-16 Preservation Tech, LLC Multi-channel plasma reaction cell
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
US20240145252A1 (en) * 2022-11-02 2024-05-02 Applied Materials, Inc. Faraday faceplate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP2002115068A (ja) * 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
JP2003133288A (ja) 2001-10-23 2003-05-09 Mitsubishi Heavy Ind Ltd 半導体デバイス製造装置及び半導体デバイス製造方法
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
KR100854995B1 (ko) 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
TW201015654A (en) 2008-07-11 2010-04-16 Applied Materials Inc Chamber components for CVD applications
WO2011080876A1 (ja) * 2009-12-28 2011-07-07 パナソニック株式会社 プラズマドーピング装置
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108962714A (zh) * 2017-05-17 2018-12-07 应用材料公司 用于经改善的前驱物流的半导体处理腔室
CN108962714B (zh) * 2017-05-17 2022-12-02 应用材料公司 用于经改善的前驱物流的半导体处理腔室

Also Published As

Publication number Publication date
JP2023025029A (ja) 2023-02-21
CN108962714A (zh) 2018-12-07
US20180337024A1 (en) 2018-11-22
KR102524104B1 (ko) 2023-04-20
JP7176860B6 (ja) 2022-12-16
TW202320184A (zh) 2023-05-16
JP7176860B2 (ja) 2022-11-22
CN115799031A (zh) 2023-03-14
JP2019004141A (ja) 2019-01-10
KR20180126388A (ko) 2018-11-27
TWM575912U (zh) 2019-03-21
TW201907047A (zh) 2019-02-16
TWI785045B (zh) 2022-12-01
CN108962714B (zh) 2022-12-02
JP7393501B2 (ja) 2023-12-06
KR20230057316A (ko) 2023-04-28

Similar Documents

Publication Publication Date Title
CN208954934U (zh) 半导体处理系统
TWI795404B (zh) 用於多前驅物流的半導體處理腔室與系統
US11101136B2 (en) Process window widening using coated parts in plasma etch processes
US11239061B2 (en) Methods and systems to enhance process uniformity
US20190252216A1 (en) Semiconductor processing chamber multistage mixing apparatus
CN111799143B (zh) 半导体处理腔室多阶段混合设备

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant