KR101165110B1 - 화학 기상 증착 시스템에서 가스를 분배하기 위한 차단기플레이트 우회로 - Google Patents
화학 기상 증착 시스템에서 가스를 분배하기 위한 차단기플레이트 우회로 Download PDFInfo
- Publication number
- KR101165110B1 KR101165110B1 KR1020067027335A KR20067027335A KR101165110B1 KR 101165110 B1 KR101165110 B1 KR 101165110B1 KR 1020067027335 A KR1020067027335 A KR 1020067027335A KR 20067027335 A KR20067027335 A KR 20067027335A KR 101165110 B1 KR101165110 B1 KR 101165110B1
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- plate
- chamber
- breaker plate
- feed
- Prior art date
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
처리 챔버 내로 가스를 분배하기 위한 장치 및 방법이 개시된다. 일 실시예에서, 상기 장치는 관통 배치된 복수의 개구를 갖는 가스 분배 플레이트와 이를 통해 배치된 복수의 개구 및 그 안에 배치된 복수의 피드 스루 경로를 갖는 차단기 플레이트를 포함한다. 제 1 가스 경로는 상기 가스 분배 플레이트 및 상기 차단기 플레이트의 복수의 개구를 통해 제 1 가스를 전달한다. 우회 가스 경로는, 제 2 가스가 상기 가스 분배 플레이트를 통과하기 이전에, 제 2 가스를 상기 차단기 플레이트의 복수의 피드 스루 통로를 통해 상기 차단기 플레이트 주위의 구역으로 전달한다.
Description
본 발명의 실시예는 일반적으로 반도체 기판 처리 시스템에 관한 것이다. 더욱 자세하게, 본 발명의 실시예는 처리 챔버 내로 가스를 전달하기 위한 방법 및 장치에 관한 것이다.
반도체 기구 및 직접 회로의 제조 동안, 산화물과 같은 물질은 화학 기상 증착(CVD) 챔버와 같은 처리 챔버 내에서 기판 위에 일반적으로 증착된다. 증착 챔버의 페이스플레이트(faceplate) 또는 가스 분배 플레이트는 복수의 이격된 홀을 포함하고, 처리 가스는 챔버에서 물질을 분해하는 것을 돕기 위해 통과한다. 증착 공정은 일반적으로 증착 챔버의 구성요소 및 벽 위에 일정한 물질의 증착을 일반적으로 초래한다. 예를 들면, 증착 물질의 층이 가스 분배 플레이트 상에 형성되고, 이는 플레이트의 홀을 막을 수 있거나 또는 입자로 조각으로 만들어 기판 위에 떨어지게 하며, 이에 의해 기판 위의 증착의 균일성에 악영향을 미치고 기판을 오염시킨다. 결과적으로, 증착 챔버의 내부는 규칙적인 기준에 의거한 세정을 요구한다.
세정 가스를 이용하는 가스 분배 플레이트를 포함하는 증착 챔버를 세정하기 위한 복수의 방법이 존재한다. 예를 들면, 원격 플라즈마 세정 절차가 채택될 수 있으며, 이는 플루오르 함유 가스와 같은 에칭 가스(etchant gas)를 원격 고밀도 플라즈마 소스로 유도함에 의해 증착 챔버로부터 원격으로 발생된 에칭 플라즈마를 이용하고, 이는 마이크로웨이브 플라즈마 시스템, 토로이달(toroidal) 플라즈마 발생기 또는 이와 유사한 기구일 수 있다. 증착 챔버로 수송되는 에칭 플라즈마로부터 분리된 종은 원하지 않는 증착 형성과 반응하여 에칭시킨다. 챔버 벽의 내부 상에 형성되는 원하지 않는 증착 물질을 제거하는 다른 방법은 인 시츄(in situ) 챔버 세정 작동을 포함한다. 일반적인 인 시츄 챔버 세정 기술은 플루오르 함유 가스와 같은 에칭 가스의 이용을 포함하고, 이에 의해 챔버 벽 및 다른 구역으로부터 증착된 물질을 제거한다. 에칭 가스는 챔버 내로 유도되고 플라즈마가 챔버 내에서 형성되며, 이에 의해 에칭 가스는 챔버 벽으로부터 증착된 물질과 반응하고 이를 제거한다.
종래 CVD 챔버에서, 처리 가스 및 세정 가스 모두는 가스 분배 플레이트를 통하는 통로 이전에 일반적인 피드 경로를 통해 차단기 플레이트로 통하고 이는 가스를 균일하게 분배하는 것을 돕는다. 세정 가스가 감소된 유동에 적합한 일반적인 피드를 통해 통과될 때, 일정한 증착 공정은 챔버 세정 효율을 크게 줄이는 감소된 유동에서 작동한다. 낮은 세정 효율은 챔버를 세정하는데 걸리는 시간을 증가시키고 소비되는 세정 가스의 양을 증가시키며 주어진 시간에 처리될 수 있는 기판의 개수(즉, 처리량)를 감소시킨다.
세정 가스가 차단기 플레이트를 우회하는 것을 가능하게 하는 설계는 모든 세정 가스가 가스 분배 플레이트의 주변부를 돌게 하고(route), 이에 의해 챔버의 중앙부와 비교하여 챔버의 주변부에서 세정율을 증가시킨다. 그러나, 챔버의 중앙부는 가스 분배 플레이트의 주변부로 배향된 모든 세정 가스로 충분하게 세정되지 않을 수 있다.
따라서, 처리 가스 및 세정 가스의 독립적인 제어를 가능하게 하는, 챔버 내로 가스를 전달하기 위한 장치 및 방법에 관한 요구가 존재한다. 추가적인 요구는 챔버의 주변부 및 챔버의 중앙부를 향해 배향된 세정 가스의 비율을 제어하기 위한 장치 및 방법에 관한 것이다.
본 발명의 실시예는 일반적으로 처리 챔버 내로 가스를 분배하기 위한 장치 및 방법에 관한 것이다. 일 실시예에서, 상기 장치는 관통 배치된 복수의 개구를 가지는 가스 분배 플레이트와 관통 배치된 복수의 개구 및 그 안에 배치된 복수의 피드 스루(feed through) 통로를 갖는 차단기 플레이트를 포함한다. 제 1 가스 경로는, 상기 가스 분배 플레이트의 복수의 개구 및 상기 차단기 플레이트의 복수의 개구를 통해 제 1 가스를 전달한다. 우회 가스 경로는, 제 2 가스가 상기 가스 분배 플레이트를 통해 통과하기 이전에, 제 2 가스를 상기 차단기 플레이트의 복수의 피드 스루 경로를 통해 차단기 플레이트 주위의 구역으로 전달한다.
본 발명의 상기 언급된 특징이 자세히 이해될 수 있도록, 상기에서 간략히 요약된 본 발명의 특별한 설명이 참조에 의해 실시예에 있고, 이는 첨부된 도면에서 도시된다. 첨부된 도면은 본 발명의 오직 일반적인 실시예를 도시하고, 따라서 본 발명의 범위를 제한하는 것이 아니며 다른 동등한 효과적인 실시예를 허용할 수 있다.
도 1은 본 발명의 다양한 실시예를 채택하는 화학 기상 증착(CVD) 시스템의 개략도이다.
도 2는 본 발명의 다양한 실시예를 채택하는 CVD 시스템을 위한 가스 분배 어셈블리의 사시도이다.
도 3은 본 발명의 다양한 실시예를 채택하는 가스 분배 어셈블리의 평면도이다.
도 4는 단면 라인 4-4를 따른 도 3의 가스 분배 어셈블리의 부분 단면도이다.
도 5는 단면 라인 5-5를 따른 도 4의 가스 분배 어셈블리의 부분 단면도이다.
도 6은 CVD 시스템을 위한 가스 분배 어셈블리용 가스 박스의 대안적인 실시예의 부분 단면도이다.
도 7은 단면 라인 7-7을 따른 도 6의 가스 분배 어셈블리의 부분 단면도이다.
본 발명의 실시예는 일반적으로 화학 기상 증착(CVD) 장치와 같은 처리 챔버내로 가스를 분배하기 위한 장치 및 방법에 관한 것이다. 도 1은 본 발명의 다양한 실시예를 채택하는 예시적인 CVD 장치(100)를 도시하고 이는 본 발명의 다양한 실시예를 채택한다. 일 실시예에서, CVD 장치(100)는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스사로부터 구입 가능한 Producer® 챔버를 개량한 것이다. CVD 장치(100)는 2002년 12월 17일 발행된 미국 특허 제 6,495,233 B1호에서 더욱 자세히 설명되고, 이는 여기서 참조로 채택되었다. 본 발명의 실시예가 Producer® 챔버에 관해 설명되었지만 다른 CVD 반응장치 및 챔버도 DXZ® 챔버와 같이 본 발명의 다양한 실시예를 실행하도록 개조될 수 있으며, 이 챔버는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스사로부터 구입 가능하다. DXZ® 챔버는 여기서 참조로 채택된 2002년 4월 2일 발행된 미국 특허 제 6,364,954 B2호에서 개시된다.
CVD 장치(100)는 전기적으로 접지된 외부벽(106), 내부벽(108) 및 가스 분배 어셈블리(110)를 포함하고, 이는 동시에 제 1 챔버(102) 및 제 2 챔버(104)를 형성한다. 내부벽(108)은 제 1 및 제 2 챔버(102, 104)를 서로 분리시킨다. 각각의 챔버(102, 104) 내에서 거의 중앙에 배치된 페데스탈(pedestal, 114)은 기판(116)을 지지한다. 기판(116)은, 정전기력, 기계적 또는 진공 클램핑(clamping), 중력 또는 이와 유사한 것을 이용하여 페데스탈(114) 위에 놓이거나 이에 고정된다. 가스 패널(112)은 CVD 장치(100)에 결합되고 종래의 CVD를 위해 필요한 처리 가스 및 다른 가스를 공급하고, 이에 의해 제 1 및 제 2 챔버(102, 104) 내에 발생한다 (occur). 또한, CVD 장치(100)는 챔버 내에서 플라즈마를 형성하기 위해 RF 소스(116)에 결합될 수 있다.
도 2 및 3은 가스 분배 어셈블리(110)의 사시도 및 평면도를 도시한다. 가스 분배 어셈블리(110)는 뚜껑 플레이트(228), 제 1 가스 박스(208), 제 2 가스 박스(210) 및 원격 플라즈마 소스(remote plasma source, 200)를 포함한다. 제 1 가스 박스(208)는 챔버 중앙라인(120A)을 따라 뚜껑 플레이트(228) 위의 중앙에 배치되고, 제 2 가스 박스(210)는 챔버 중앙라인(120B)을 따라 뚜껑 플레이트(228) 위의 중앙에 배치된다. 뚜껑 플레이트(228)는 알루미늄과 같은 전도성 재료로 일반적으로 제조된다. 뚜껑 플레이트(228)는 하나 이상의 힌지(214)에 의해 전기적으로 접지된 외부벽(106) 중 하나에 부착되고, 핸들(216)은 뚜껑 플레이트(228)의 개방을 쉽게 한다. 가스 분배 어셈블리(110)가 폐쇄 위치에 있을 때, 붙잡는 래치(captive latch)와 같은 고정 메카니즘(226)는 뚜껑 플레이트(228)를 챔버(102, 104)에 고정시킨다. 또한, 가스 분배 어셈블리(110)는 한 쌍의 입구 매니폴드(218)(이중 하나는 도 2에서 원격 플라즈마 소스(200)에 의해 부분적으로 가려짐)와 한 쌍의 일정한 전압 기울기 피드 채널(이중 하나도 도 2에서 원격 플라즈마 소스(200)에 의해 부분적으로 가려짐)을 포함한다. 각각의 가스 박스(208, 210)에 인접한 뚜껑 플레이트(228) 위에 배치된 각각의 입구 매니폴드(218)는 가스 통로(424)로부터 처리 가스를 받고, 이 통로는 가스 패널(112)과 유체 소통한다(도 1에서 도시됨). 피드 채널(220)은 피드 통로(425)(도 4에서 도시됨)를 형성하고, 이 통로는 입구 매니폴드(218)를 수용성 가스 박스로 연결시킨다. 여기서 참조로 채 택된 미국 특허 제 5,725,675호는 예시적인 피드 채널을 상세히 설명한다. 피드 채널(220)은 전기적으로 절연시키는 재료로 만들어지고 입구 매니폴드(218)를 가스 박스(208, 210)로부터 전기적으로 절연시킨다. 가스 분배 어셈블리(110)의 온도를 제어하기 위해, 각각의 입구 매니폴드(218)는, 물과 같은 냉각 유체를 순환시키기 위해 개별적으로 입구 열교환 피팅(fitting) 및 출구 열교환 피팅(217, 219)을 포함한다. 냉각 유체는, 각각의 입구 매니폴드(218) 및 가스 분배 어셈블리(110)를 통해 연장하는 채널(미도시)를 통해, 섭씨 약 65도 내지 섭씨 약 70도의 온도 범위에서 순환한다.
원격 플라즈마 소스(200)는 챔버(102, 104)로부터 원하지 않는 증착 물질을 제거하기 위해 세정 가스를 전달하고 이를 지속한다. 세정 가스는 플루오린 함유 가스와 같은 할로겐 함유 가스일 수 있다. 바람직하게 세정 가스는 NF3이다. 세정 가스에 대해 여기서 설명된 처리 조건 및 범위는 NF3에 사용될 수 있다. 사용될 수 있는 다른 세정 가스는 F2, C4, C3F8, C2F4 , SF6, C2F6, CCl4, 및 C2Cl6이다. 원격 플라즈마 소스(200)는 메사추세츠 윌밍턴의 MKS 인스트루먼트사로부터 구입 가능한 ASTRON® 발생기일 수 있다. 브래킷(bracket, 212)은 뚜껑 플레이트(228) 위의 원격 플라즈마 소스(200)를 중앙으로 지지한다.
원격 플라즈마 소스(200)는 분할기(202), 제 1 도관(204), 및 제 2 도관(206)을 경유하여 챔버(102, 104)로 세정 가스를 전달한다. 분할기(202)는 원격 플라즈마 소스(200)와 제 1 도관(204) 및 제 2 도관(206) 모두에 결합되고, 이에 의해 "T자(tee)"를 형성한다. 제 1 도관(204)은 분할기(202)를 제 1 가스 박스(208)로 결합시키고 제 2 도관(206)은 분할기(202)를 제 2 가스 박스(210)로 결합시킨다. 제 1 및 제 2 도관(204, 206)은 유전 물질로 제조되고, 이에 의해 원격 플라즈마 소스(200)로부터 가스 박스(208, 210)를 전기적으로 절연시킨다. 세정 가스는 원격 플라즈마 소스(200)로부터 나와서 분할기(202) 내로 이후 각각의 도관 및 가스 박스를 통해 개별적인 챔버 내로 유동함에 의해 개별적인 챔버로 들어간다. 도시된 CVD 장치(100)는 오직 하나의 원격 플라즈마 소스(200)를 포함하지만, 본 발명의 실시예는 일정한 수의 원격 플라즈마 소스를 가지고 사용될 수 있다. 예를 들면, 여기서 참조로 채택된 "처리 챔버를 세정하기 위한 방법"이라는 명칭으로 2002년 4월 12일에 출원된 미국 특허출원 제 10/122,481호는 두 개의 원격 플라즈마 소스를 구비한 Producer®를 설명한다.
도 4에서 도시된 것처럼, 제 1 가스 박스(208)(제 2 가스 박스(210)는 도시되지 않았지만 제 1 가스 박스(208)와 유사함)는 혼합 블록(230), 장착 플레이트(426) 및 샤워헤드(437)를 포함한다. 샤워헤드(437)는 천공(perforated) 바닥부(464)를 갖는 일반적으로 접시 형태의 가스 분배 어셈블리(436) 및 차단 플레이트(436)를 포함한다. 장착 플레이트(426)는 장착 플레이트를 뚜껑 플레이트(228)에 고정시키기 위한 세 개의 장착 홀(232) 및 중앙 개구(418)를 포함한다. 장착 플레이트 및 가스 분배 어셈블리(438)는 알루미늄과 같은 전도성 물질로 제조된다. RF 결합 탭(222)(도 3에서 도시됨)은 제 1 및 제 2 가스 박스(208, 210)의 장착 플레 이트(426)를 RF 소스(118)에 결합시킨다. RF 전력은 장착 플레이트(426)를 통해 가스 분배 플레이트(438)에 연결되고, 가스 분배 플레이트(438)의 테두리(462)는 장착 플레이트(426)의 플랜지(460)와 접한다. 플루오르폴리머 또는 세라믹과 같은 절연성 유전 물질로 제조되고 가스 분배 플레이트(438) 및 뚜껑 플레이트(228) 사이에 배치된 절연체(440)는 뚜껑 플레이트(228)로부터 가스 박스(208)를 전기적으로 절연시킨다.
장착 플레이트(426)의 바닥 주변부 주위의 내향 쇼울더(inward shoulder, 473)는, 가스 분배 플레이트(438)에 의해 둘러싸이고 이로부터 이격된 장착 플레이트(426)의 돌출부 또는 중앙부(475)를 형성한다. 차단기 플레이트(436)는 장착 플레이트(426)의 중앙부(475)의 바닥면에 연결된다. 차단기 플레이트(436)는 장착 플레이트(426)를 향하는 환형 립을 포함하고, 이는 장착 플레이트(426)의 중앙부(475) 및 차단기 플레이트(436) 사이의 갭(448)을 형성한다. 따라서, 천공이 있는 차단기 플레이트(436)는 가스 통로가 갭(448) 내에서 처리 가스의 방사상 확산 및 처리 가스의 배압(back pressure)의 축적을 가능하게 한다. 차단기 플레이트(436)는 천공을 형성하는 개구의 감소된 지름 및/또는 감소된 수의 천공을 갖는 고압 차단기일 수 있고, 이에 의해 낮은 유전 상수 물질을 증착시킬 때(예를 들어 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능한 Black DiamondTM 처리) 충분한 배압을 제공한다. 차단기 플레이트(436) 및 가스 분배 플레이트(438) 사이의 공간은 플레넘(458)을 형성한다. 따라서, 가스 분배 플레이트(438)의 천공 바닥부(464)는 처리 가스 및 세정 가스를 적은 유동 제한에 걸리게 하고, 이는 다양한 가스가 챔버(104) 내로 지나가기 전에 가스 분배 플레이트(438)를 가로질러 방사상으로 확산하게 한다.
장착 플레이트(426) 상에 중앙에 배치된 혼합 블록(230)은 처리 가스를 통로(425)로부터 차단기 플레이트(436)를 통하는 제 1 유동 경로로 분배하고 세정 가스를 제 1 도관(204)으로부터 차단기 플레이트(436)를 우회하는 우회(bypass) 유동 경로로 분배한다. 화살표(480)는 제 1 유동 경로를 통한 유동을 도시하고, 화살표(481)는 우회 유동 경로를 통한 유동을 도시한다. 혼합 블록은 하우징(402), 보텍스(vortex) 발생기(404), 및 가스 분배 튜브(410)를 포함한다. 보텍스 발생기(404)는 벽(450) 및 바닥부(452)를 포함하고, 이는 출구 개구(456)를 갖는 거의 실린더 형태의 내부 부피(454)를 형성한다. 여기서 참조로 채택된 2002년 12월 17일 발행된 미국 특허 제 6,495,233 B1은 예시적인 보텍스 발생기를 상세히 설명한다. 가스 전달 튜브(410)의 중앙 통로(444)는 출구 개구(456)와 정렬한다. 가스 전달 튜브(410)는 보텍스 발생기(404)의 바닥부(452)에 부착되고 장착 플레이트(426)에 연결되며, 이에 의해 통로(425)로부터 보텍스 발생기(404)를 통해 처리 가스 및 다른 가스가 차단기 플레이트(436)의 전방의 갭(448)으로 제 1 유체 경로를 통해 유동한다. 또한, 하우징(402)은 전달 튜브(410)의 중앙 통로(444)로부터 분리되고 전달 튜브(410)를 둘러싸는 내부 유동 통로를 형성한다. 하우징(402)을 통한 내부 유동 통로는 제 1 도관(204)으로부터 우회 유동 경로로 유동을 분배하고 제 1 도관(204)으로부터 세정 가스 및 다른 가스가 화살표(481)에 의해 도시된 것과 같이 우 회 유동 경로로 개별적으로 유동한다.
도 4 및 5에서 도시된 것처럼, 우회 유동 경로는 장착 플레이트(426)의 중앙부(475)에 배치된 복수의 방사상으로 연장하는 보어 또는 스포크(spoke)(476)와 스포크(476)를 따라 내향 위치로부터 하류로 연장하는 복수의 피드 스루(477)를 포함한다. 장착 플레이트(426)를 통한 중앙 개구(418)는 증가된 지름을 구비한 상부를 포함하고 이는 전달 튜브(410) 및 장착 플레이트(426) 사이에 환형 구역(446)을 형성하며, 이에 의해 스포크(476) 및 혼합 블록(230) 사이의 유체 유동을 가능하게 한다. 대안적으로, 환형 구역(446)은 장착 플레이트(426)의 분리된(dicrete) 종방향 채널로 대체될 수 있고, 이는 혼합 블록(230) 및 스포크(476)의 각각의 사이에 유체 소통을 제공한다. 분리된 종방향 채널이 스포크(476) 및 혼합 블록(230)과 결합한다면, 장착 플레이트(426)의 중앙 개구(418)는 전달 튜브(410) 및 혼합 블록(436) 사이의 제 1 유동 경로의 일부를 형성할 수 있다. 피드 스루(477)는 장착 플레이트(426)의 중앙부(475)의 바닥부의 개구를 포함하고, 이는 차단기 플레이트(436)의 중앙 영역을 통한 통로와 정렬하고 스포크(476)로 연장한다. 차단기 플레이트(436)의 도우넛 형태의 립은 통로를 형성하고 피드 스루(477)로부터 갭(448)을 분리시키며 이에 의해 피드 스루(477)를 통한 유동은 차단기 플레이트(436)의 구멍을 우회한다. 스포크(476)는, 스포크가 끝나는 중앙부(475)의 측부에서 플레넘(458)으로의 세정 가스의 유동을 위한 주변 출구와 피드 스루(477)의 위치에서 플레넘(458)으로의 세정 가스의 유동을 위한 중앙 출구를 제공한다.
도 6 및 7은 본 발명의 대안적인 실시예를 도시하는데, 대안적인 실시예는 피드 스루(477)로부터 방사상 방향으로 외향으로 이격된 추가적인 피드 스루(478)를 갖는다. 이 실시예에서 도시된 것처럼, 피드 스루들(477, 478)의 배열, 개수 및 간격(spacing)은 무한한 수의 구성의 형태를 취할 수 있다. 피드 스루들(477, 478)의 개수, 크기 및/또는 위치, 및/또는 스포크(476)를 형성하는 보어의 지름을 제어하는 것은, 챔버(104)의 중앙부를 향하여 배향된 세정 가스 및 챔버(104)의 주변부를 향하여 배향된 세정 가스의 비율을 효과적으로 제어한다. 스포크(476)를 형성하는 보어의 지름은, 제조 동안 초기에 또는 후속하여 스포크(476)로부터의 유동을 제한하기 위한 기결정된 크기의 오리피스(701)를 가지는 스포크(476)의 주변부 출구에 고정된 블록(700)의 추가(도 7에서는 단지 하나만 도시됨)에 의해 결정될 수 있다. 우회 유동 경로 덕분에, 이러한 세정 가스의 유동 제어는 처리 가스의 유동과 독립적으로 이루어질 수 있다.
일련의 o-링(422)은, 절연체(440) 및 뚜껑 플레이트(228) 사이, 절연체(440) 및 가스 분배 플레이트(438) 사이, 혼합 블록(230) 및 장착 플레이트(426) 사이, 및 가스 분배 플레이트(438) 및 장착 플레이트(426) 사이에 배치되고 이에 의해 개별적인 챔버로 가스 전달을 보장한다. o-링(422)은 CVD 장치(100)에서 사용되는 처리 및 세정 가스와 융화성이 있는(compatible) 물질로 일반적으로 만들어진다.
작동시 기판(116)은 각각의 챔버(102, 104)에서 페데스탈(114) 위에 놓인다. 펌프(미도시)는 챔버(102, 104)를 비운다. 처리 가스는, 사이클로닉(cyclonic) 방법으로 완전히 혼합되어 각각의 가스 박스(208, 210)의 혼합 블록(230)으로 전달된다. 일단 혼합되면, 처리 가스는 가스 전달 튜브(410)를 통해 혼합 블록(230)을 빠져나가고, 가스 분배 플레이트(438) 및 차단기 플레이트(436)를 통해 개별적인 챔버로 들어간다. 이후 처리 가스는 기판(116) 위에 물질의 층을 증착시킨다. 일 실시예에서, 물질의 층은 낮은 유전 상수, 예를 들어 약 3 또는 미만의 유전 상수를 가질 수 있다. 증착의 원하는 두께가 얻어지면, 처리 가스는 챔버(102, 104)로부터 제거된다.
선택적으로, 증착 공정은 챔버 내에서 처리 가스의 플라즈마를 형성함에 의해 촉진될 수 있다. 요구된다면, 선택적인 RF 전력 소스(118)는 RF 결합 탭(222)을 통해 가스 박스에 결합된다. RF 전력은 가스 분배 플레이트(438)에 결합될 수 있고, 이로써 가스 분배 플레이트(438)에 바이어스를 가하며, 이에 의해 챔버 내에서 혼합된 처리 가스의 플라즈마를 점화시키고 유지시킨다.
기판(116)이 제거된 후, 챔버는 원격 플라즈마 소스(200)를 이용하여 세정될 수 있고, 이는 세정 가스(자유 래디컬의 형태로)를 발생시키도록 구성된다. 일단 발생되면, 세정 가스는 분할기(202) 및 도관을 통해 가스 박스로 전달된다. 가스 박스로부터, 세정 가스는 가스 전달 튜브(410)를 둘러싸는 중앙 개구(418)를 통해, 스포크(476) 및 피드 스루(477)를 통해, 그리고 가스 분배 플레이트(438)를 통해 유동한다. 세정 가스가 챔버에서 다양한 구성요소를 통해 유동하기 때문에, 가스 분배 플레이트(438)를 포함하는 이러한 구성요소는 증착 공정 동안 증착될 수 있는 거의 모든 물질이 효과적으로 에칭되거나 세척된다(scrubbed).
가스 분배 플레이트(438)를 통해 유동하는 세정 가스(자유 래디컬 형태)의 양은, 피드 스루(477)의 위치에서 차단기 플레이트(436)의 중앙 영역을 통해 그리고 차단기 플레이트(436) 주위로 세정 가스를 배향시킴에 의해 증가하고, 이는 차단기 플레이트(436)를 통해 세정 가스를 통과시키는 것이 래디컬 재조합을 일으키기 쉽기 때문이다. 일례에서, 높은 압력 차단기 플레이트를 포함하는 동일한 경로를 통해 세정 및 처리 가스를 유동시키는 표준 가스 볼록은, 에칭 가스로서 NF3를 이용하고 대략 9500Å/min의 에칭률을 제공한다. 또한, 표준 가스 블록을 이용할 때 챔버에 걸친 낮은 에칭률에 대한 높은 에칭률의 비에 의해 형성된 균일비는 에칭률이 완전히 균일한 1.0의 이상적인 균일비와 비교하여 약 2.29이다. 에칭 가스가 도 4 및 5에서 도시된 실시예에 따라 우회 유동 경로를 통해 유동한다면, 에칭률은 약 16500Å/min(대략 75% 향상)으로 크게 증가한다. 이러한 예에서, 스포크(476)의 보어의 지름은 0.35인치이고, 피드 스루(477)의 지름은 0.2인치이다. 또한, 에칭률 균일성은, 우회 유동 경로를 이용하여 챔버 내로 에칭 가스를 배향시킬 때 약 1.3의 균일비에 의해 나타나는 것처럼 향상된다. 따라서, 우회 유동 경로는 주변부 및 중앙부에서 모두 챔버(104)에서 세정율을 향상시킨다.
예에서 사용되는 높은 압력 차단기 플레이트는, 각각이 0.16밀리미터의 지름을 갖는 500 개의 개구를 포함하고, 이를 통해 천공을 형성한다. 높은 압력 차단기 플레이트의 사용은 기판 위에 필름 증착 균일성을 향상시킨다. 제 1 챔버에 3%의 필름 균일성을 제공하고 제 2 챔버에 2.6%의 필름 균일성을 제공하는 증가된 지름 및/또는 많은 홀을 구비한 종래의 차단기 플레이트와 비교할 때, 높은 압력 차단기 플레이트는 두 챔버 모두에 1.1%의 필름 균일성을 제공한다. 또한, 높은 배압은 두 챔버의 각각으로의 처리 가스의 유동 분리를 효과적으로 제어한다. 특히, 종래의 차단기 플레이트는 5%의 두 챔버 사이의 필름 두께 불일치를 제공하였지만, 높은 압력 차단기 플레이트는 1% 미만의 두 챔버 사이의 필름 두께 불일치를 제공한다.
여기서 설명된 발명의 실시예는 어떤 특별한 장치 또는 어떤 특별한 플라즈마 여기 방법에 제한되지 아니한다. 본 발명의 실시예는 원격 플라즈마 소스와 관련하여 설명되었지만, 본 발명의 실시예는 다른 세정 작동, 예를 들어 인시츄(in-situ) 세정 작동과 연관하여 실행될 수도 있다. 상기 CVD 시스템 설명은 주로 예시적인 목적을 위한 것이고, 전극 사이클로트론 공명(eletrode cyclotron resonance, ECR) 플라즈마 CVD 기구와 같은 다른 CVD 장비, 유도-결합된 RF 고밀도 플라즈마 CVD 기구, 또는 이와 유사한 것이 채택될 수 있다. 또한, 전력 연결의 위치, 원격 플라므자 소스 설계, 가스 박스 설계, 가열기 설계, 기판 지지대 페데스탈 설계에서의 변화와 같은 상기에서 설명된 시스템의 변화가 가능하다.
이전의 것은 본 발명의 실시예와 직접 관련된 것이고, 본 발명의 다른 그리고 추가적인 실시예가 본 발명의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 이의 범위는 이하의 청구범위에 의해 결정된다.
Claims (21)
- 가스를 처리 챔버 내로 분배하기 위한 장치로서,관통 배치된 복수의 개구를 가지며 상기 챔버 내에 있는 가스 분배 플레이트;상기 가스 분배 플레이트 위로 이격되어 있는 차단기 플레이트로서, 관통 배치된 복수의 개구 및 상기 차단기 플레이트의 중앙 영역을 통해 연장하는 피드 스루(feed through) 통로들을 갖는 차단기 플레이트; 그리고상기 가스 분배 플레이트 및 상기 차단기 플레이트 사이에 형성된 플레넘;을 포함하고;상기 차단기 플레이트를 통해 상기 플레넘 내로 그리고 상기 가스 분배 플레이트의 개구들을 통해 순차적으로 제 1 가스를 전달하도록 제 1 가스 경로가 구성되며,(i) 상기 차단기 플레이트 주위로 상기 플레넘 내로 및 상기 가스 분배 플레이트의 개구들을 통해, 그리고 (ii) 상기 차단기 플레이트의 중앙 영역을 통해 연장하는 상기 피드 스루 통로들을 통해 상기 플레넘 내로 및 상기 가스 분배 플레이트의 개구들을 통해 제 2 가스를 전달하도록 제 2 가스 경로가 구성된,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 1 항에 있어서,상기 제 2 가스 경로가 상기 챔버의 주변부에 근접한 주변부 출구를 갖는 방사상 통로를 포함하는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 2 항에 있어서,상기 제 2 가스 경로가, 상기 방사상 통로로부터 및 상기 차단기 플레이트를 통해 연장하는 피드 스루 통로를 포함하고, 상기 피드 스루 통로가 내향 방사상 위치에서 상기 방사상 통로를 따라 배치되는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 2 항에 있어서,상기 제 2 가스 경로가 상기 방사상 통로로부터 및 상기 차단기 플레이트를 통해 연장하는 두 개 이상의 피드 스루 통로들을 포함하고, 상기 피드 스루 통로들은 내향 방사상 위치들에서 상기 방사상 통로를 따라 배치되는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 2 항에 있어서,상기 방사상 통로를 통해 상기 제 2 가스의 유동을 제어하도록 기결정된 크기의 오리피스(orifice)를 포함하는 상기 주변부 출구에 고정된 차단기(block)를 더 포함하는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 삭제
- 삭제
- 제 1 항에 있어서,상기 차단기 플레이트가 500 개의 개구를 포함하고, 각각의 개구가 0.16밀리미터의 지름을 갖는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 가스를 처리 챔버 내로 분배하기 위한 장치로서,상기 챔버 내 가스 분배 플레이트;내부에 복수의 방사상 보어(bore)들을 구비하는 상기 챔버 내 장착 플레이트 - 상기 방사상 보어들은 상기 장착 플레이트 및 상기 가스 분배 플레이트 사이의 구역에 주변부 출구들을 제공하고, 상기 방사상 보어들은 가스 분배 어셈블리와 유체 소통함 - ; 그리고상기 장착 플레이트 및 상기 가스 분배 플레이트 사이에 배치된 차단기 플레이트를 포함하고,상기 차단기 플레이트의 제 1 측부가 상기 가스 분배 어셈블리와 유체 소통하는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 9 항에 있어서,상기 방사상 보어들로부터 및 상기 차단기 플레이트를 통해 연장하는 피드 스루 통로들을 더 포함하고,상기 피드 스루 통로들은 내향 방사상 위치들에서 상기 방사상 보어들을 따라 배치되는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 10 항에 있어서,상기 방사상 보어들 중 선택된 것(ones)이 두 개 이상의 피드 스루 통로를 갖는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 제 9 항에 있어서,상기 주변부 출구들에 고정된 차단기들을 더 포함하고, 각각의 차단기가 상기 방사상 보어들을 통하는 가스의 유동을 제어하도록 기결정된 크기의 오리피스를 포함하는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 삭제
- 삭제
- 제 9 항에 있어서,상기 차단기 플레이트가 0.16밀리미터의 지름을 갖는 500개의 개구를 포함하는,가스를 처리 챔버 내로 분배하기 위한 장치.
- 기판을 처리하기 위한 방법으로서,차단기 플레이트를 통하여 플레넘 내로 유동하는 유동을 포함하는 제 1 가스 경로를 통해 화학 기상 증착 챔버 내로 처리 가스를 전달하는 단계 - 상기 차단기 플레이트가 가로지르는(thereacross) 압력 강하를 생성함 - ;기판 표면 위에 물질을 증착하도록 상기 처리 가스를 반응시키는 단계;상기 챔버로부터 상기 기판을 제거하는 단계;(i) 상기 차단기 플레이트 주위로 및 상기 플레넘 내로 형성된 그리고 (ii) 상기 차단기 플레이트를 통해 및 상기 플레넘 내로 형성된 제 2 가스 경로를 통해 상기 챔버 내로 세정 가스를 전달하는 단계; 그리고상기 챔버로부터 증착물을 에칭하기 위해 상기 챔버 내에서 상기 세정 가스를 상기 증착물과 반응시키는 단계를 포함하는,기판을 처리하기 위한 방법.
- 제 16 항에 있어서,상기 제 2 가스 경로는 상기 챔버의 주변부에 근접한 주변부 출구를 갖는 방사상 통로를 포함하고,상기 세정 가스를 전달하는 단계가 상기 차단기 플레이트를 통해 및 상기 방사상 통로로부터 연장하는 피드 스루 통로들을 통해 상기 세정 가스를 유동시키는 것을 포함하고,상기 피드 스루 통로들은 내향 방사상 위치들에서 상기 방사상 통로를 따라 배치된,기판을 처리하기 위한 방법.
- 제 16 항에 있어서,상기 세정 가스가 플루오르-함유 가스를 포함하는,기판을 처리하기 위한 방법.
- 삭제
- 제 16 항에 있어서,상기 세정 가스가 F2, C4, C3F8, C2F4, SF6, C2F6, CCl4, 및 C2Cl6로 이루어진 그룹 중 하나 이상의 가스로부터 선택된 가스를 포함하는,기판을 처리하기 위한 방법.
- 제1 항에 있어서,상기 제 1 가스 경로 및 상기 제 2 가스 경로가 상기 플레넘에 도달하기까지 유체 소통의 관점에서 격리된,가스를 처리 챔버 내로 분배하기 위한 장치.
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US57482304P | 2004-05-26 | 2004-05-26 | |
US60/574,823 | 2004-05-26 | ||
US61185204P | 2004-09-21 | 2004-09-21 | |
US60/611,852 | 2004-09-21 | ||
US11/131,010 | 2005-05-16 | ||
US11/131,010 US7572337B2 (en) | 2004-05-26 | 2005-05-16 | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
PCT/US2005/017561 WO2005119733A1 (en) | 2004-05-26 | 2005-05-19 | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070038047A KR20070038047A (ko) | 2007-04-09 |
KR101165110B1 true KR101165110B1 (ko) | 2012-07-13 |
Family
ID=35456818
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020067027335A KR101165110B1 (ko) | 2004-05-26 | 2005-05-19 | 화학 기상 증착 시스템에서 가스를 분배하기 위한 차단기플레이트 우회로 |
Country Status (5)
Country | Link |
---|---|
US (1) | US7572337B2 (ko) |
KR (1) | KR101165110B1 (ko) |
CN (1) | CN1989587B (ko) |
TW (1) | TWI298747B (ko) |
WO (1) | WO2005119733A1 (ko) |
Families Citing this family (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7013834B2 (en) * | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
WO2007016013A2 (en) * | 2005-07-27 | 2007-02-08 | Applied Materials, Inc. | Unique passivation technique for a cvd blocker plate to prevent particle formation |
EP1915470A4 (en) * | 2005-07-29 | 2012-04-04 | Aviza Tech Inc | APPLICATION DEVICE FOR SEMICONDUCTOR PROCESSING |
US8216374B2 (en) * | 2005-12-22 | 2012-07-10 | Applied Materials, Inc. | Gas coupler for substrate processing chamber |
JP2007191792A (ja) * | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
US9157151B2 (en) * | 2006-06-05 | 2015-10-13 | Applied Materials, Inc. | Elimination of first wafer effect for PECVD films |
US20080050932A1 (en) * | 2006-08-23 | 2008-02-28 | Applied Materials, Inc. | Overall defect reduction for PECVD films |
CN100451163C (zh) * | 2006-10-18 | 2009-01-14 | 中微半导体设备(上海)有限公司 | 用于半导体工艺件处理反应器的气体分布装置及其反应器 |
JP2008186865A (ja) * | 2007-01-26 | 2008-08-14 | Tokyo Electron Ltd | 基板処理装置 |
WO2009086013A2 (en) * | 2007-12-21 | 2009-07-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
JP5202050B2 (ja) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
US7699935B2 (en) | 2008-06-19 | 2010-04-20 | Applied Materials, Inc. | Method and system for supplying a cleaning gas into a process chamber |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
KR200452532Y1 (ko) * | 2008-11-06 | 2011-03-07 | 주식회사 테스 | 가스 분사 유닛 |
KR101190750B1 (ko) * | 2009-02-19 | 2012-10-12 | 엘지전자 주식회사 | 실리콘 박막 제조방법 및 제조장치 |
KR101108879B1 (ko) * | 2009-08-31 | 2012-01-30 | 주식회사 원익아이피에스 | 가스분사장치 및 이를 이용한 기판처리장치 |
KR101141926B1 (ko) * | 2010-02-10 | 2012-05-11 | 엘아이지에이디피 주식회사 | 화학기상증착장치의 가스공급유닛 및 이의 제조방법 |
JP5902896B2 (ja) * | 2011-07-08 | 2016-04-13 | 東京エレクトロン株式会社 | 基板処理装置 |
US8826857B2 (en) * | 2011-11-21 | 2014-09-09 | Lam Research Corporation | Plasma processing assemblies including hinge assemblies |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US9631273B2 (en) * | 2012-07-25 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for dielectric deposition process |
US20140030056A1 (en) * | 2012-07-25 | 2014-01-30 | Applied Materials, Inc. | Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9399228B2 (en) * | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
KR102156795B1 (ko) * | 2013-05-15 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
TWI654333B (zh) | 2013-12-18 | 2019-03-21 | 美商蘭姆研究公司 | 具有均勻性折流板之半導體基板處理設備 |
WO2015175163A1 (en) * | 2014-05-16 | 2015-11-19 | Applied Materials, Inc. | Showerhead design |
US10249511B2 (en) * | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US10557197B2 (en) * | 2014-10-17 | 2020-02-11 | Lam Research Corporation | Monolithic gas distribution manifold and various construction techniques and use cases therefor |
US10403515B2 (en) * | 2015-09-24 | 2019-09-03 | Applied Materials, Inc. | Loadlock integrated bevel etcher system |
US9758868B1 (en) | 2016-03-10 | 2017-09-12 | Lam Research Corporation | Plasma suppression behind a showerhead through the use of increased pressure |
US10403474B2 (en) | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
TWI649446B (zh) * | 2017-03-15 | 2019-02-01 | 漢民科技股份有限公司 | 應用於半導體設備之可拆卸式噴氣裝置 |
KR102493945B1 (ko) * | 2017-06-06 | 2023-01-30 | 어플라이드 머티어리얼스, 인코포레이티드 | Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성 |
US11598003B2 (en) * | 2017-09-12 | 2023-03-07 | Applied Materials, Inc. | Substrate processing chamber having heated showerhead assembly |
US20190309419A1 (en) * | 2018-04-06 | 2019-10-10 | Applied Materials, Inc. | High temperature gas distribution assembly |
KR102474847B1 (ko) * | 2018-04-25 | 2022-12-06 | 삼성전자주식회사 | 가스 인젝터 및 웨이퍼 처리 장치 |
CN112105759B (zh) * | 2018-07-31 | 2023-11-24 | 应用材料公司 | 用于cvd腔室的气体箱 |
CN111155072B (zh) * | 2018-11-08 | 2022-12-09 | 北京北方华创微电子装备有限公司 | 腔室用清洗装置及清洗方法、半导体处理设备 |
EP3902940A1 (en) * | 2018-12-28 | 2021-11-03 | Corning Incorporated | System and method for vapor deposition coating of extrusion dies using impedance disks |
KR20220035192A (ko) | 2019-07-17 | 2022-03-21 | 램 리써치 코포레이션 | 기판 프로세싱을 위한 산화 프로파일의 변조 |
KR20210042810A (ko) * | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN114901860B (zh) * | 2019-11-08 | 2024-10-08 | 应用材料公司 | 用于气体输送调节的腔室部件 |
Family Cites Families (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS615515A (ja) | 1984-06-07 | 1986-01-11 | Fujitsu Ltd | 化学気相成長装置 |
JPH05152208A (ja) | 1991-11-29 | 1993-06-18 | Fujitsu Ltd | 半導体製造装置 |
GB9207292D0 (en) * | 1992-04-02 | 1992-05-13 | Unilever Plc | Fluid dispensers |
GB9410567D0 (en) * | 1994-05-26 | 1994-07-13 | Philips Electronics Uk Ltd | Plasma treatment and apparatus in electronic device manufacture |
GB9411911D0 (en) * | 1994-06-14 | 1994-08-03 | Swan Thomas & Co Ltd | Improvements in or relating to chemical vapour deposition |
US5741363A (en) * | 1996-03-22 | 1998-04-21 | Advanced Technology Materials, Inc. | Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition |
US5725675A (en) | 1996-04-16 | 1998-03-10 | Applied Materials, Inc. | Silicon carbide constant voltage gradient gas feedthrough |
US5976261A (en) * | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
US6090210A (en) | 1996-07-24 | 2000-07-18 | Applied Materials, Inc. | Multi-zone gas flow control in a process chamber |
US5950925A (en) * | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
US6152070A (en) | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5844195A (en) * | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
TW415970B (en) * | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
US6029602A (en) * | 1997-04-22 | 2000-02-29 | Applied Materials, Inc. | Apparatus and method for efficient and compact remote microwave plasma generation |
US5968276A (en) * | 1997-07-11 | 1999-10-19 | Applied Materials, Inc. | Heat exchange passage connection |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6302964B1 (en) * | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6148761A (en) | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6454860B2 (en) * | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
KR100331544B1 (ko) * | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6499425B1 (en) * | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
US6291341B1 (en) * | 1999-02-12 | 2001-09-18 | Micron Technology, Inc. | Method for PECVD deposition of selected material films |
TW582050B (en) * | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6645884B1 (en) | 1999-07-09 | 2003-11-11 | Applied Materials, Inc. | Method of forming a silicon nitride layer on a substrate |
US6495233B1 (en) * | 1999-07-09 | 2002-12-17 | Applied Materials, Inc. | Apparatus for distributing gases in a chemical vapor deposition system |
JP4487338B2 (ja) | 1999-08-31 | 2010-06-23 | 東京エレクトロン株式会社 | 成膜処理装置及び成膜処理方法 |
US6364949B1 (en) * | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
DE10005820C1 (de) | 2000-02-10 | 2001-08-02 | Schott Glas | Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks |
US6444039B1 (en) * | 2000-03-07 | 2002-09-03 | Simplus Systems Corporation | Three-dimensional showerhead apparatus |
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
KR100406174B1 (ko) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
DE10064944A1 (de) | 2000-09-22 | 2002-04-11 | Aixtron Ag | Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens |
DE10057491A1 (de) | 2000-11-20 | 2002-05-23 | Aixtron Ag | Vorrichtung und Verfahren zum Zuführen eines in die Gasform gebrachten flüssigen Ausgangsstoffes in einen CVD-Reaktor |
KR100434487B1 (ko) * | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 박막 형성 장비 |
US20030198754A1 (en) * | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US20030017268A1 (en) | 2001-07-18 | 2003-01-23 | Applied Materials, Inc. | .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity |
AU2003238853A1 (en) | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
US20040052969A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate |
US7500445B2 (en) * | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US6830624B2 (en) * | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US7622005B2 (en) * | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
-
2005
- 2005-05-16 US US11/131,010 patent/US7572337B2/en active Active
- 2005-05-19 KR KR1020067027335A patent/KR101165110B1/ko active IP Right Grant
- 2005-05-19 WO PCT/US2005/017561 patent/WO2005119733A1/en active Application Filing
- 2005-05-19 CN CN2005800130964A patent/CN1989587B/zh active Active
- 2005-05-23 TW TW094116785A patent/TWI298747B/zh active
Also Published As
Publication number | Publication date |
---|---|
TWI298747B (en) | 2008-07-11 |
US20050263248A1 (en) | 2005-12-01 |
TW200540292A (en) | 2005-12-16 |
CN1989587B (zh) | 2011-07-06 |
CN1989587A (zh) | 2007-06-27 |
WO2005119733A1 (en) | 2005-12-15 |
KR20070038047A (ko) | 2007-04-09 |
US7572337B2 (en) | 2009-08-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101165110B1 (ko) | 화학 기상 증착 시스템에서 가스를 분배하기 위한 차단기플레이트 우회로 | |
US7829145B2 (en) | Methods of uniformity control for low flow process and chamber to chamber matching | |
US11101136B2 (en) | Process window widening using coated parts in plasma etch processes | |
KR20050088454A (ko) | 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계 | |
US11024486B2 (en) | Semiconductor processing systems having multiple plasma configurations | |
US6450117B1 (en) | Directing a flow of gas in a substrate processing chamber | |
US10550472B2 (en) | Flow control features of CVD chambers | |
JP4256480B2 (ja) | セラミックライニングを用いて、cvdチャンバ内の残渣堆積を減少させる装置 | |
TWI589724B (zh) | 熱絲化學氣相沉積腔室之噴頭設計 | |
JP2017532788A (ja) | 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置 | |
WO2012054200A2 (en) | Dual delivery chamber design | |
CN112105759B (zh) | 用于cvd腔室的气体箱 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20160629 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20170629 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20190701 Year of fee payment: 8 |