KR101369615B1 - 기판 처리 장치 및 반도체 장치의 제조 방법 - Google Patents

기판 처리 장치 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR101369615B1
KR101369615B1 KR1020130130222A KR20130130222A KR101369615B1 KR 101369615 B1 KR101369615 B1 KR 101369615B1 KR 1020130130222 A KR1020130130222 A KR 1020130130222A KR 20130130222 A KR20130130222 A KR 20130130222A KR 101369615 B1 KR101369615 B1 KR 101369615B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
plasma
processing
film
Prior art date
Application number
KR1020130130222A
Other languages
English (en)
Other versions
KR20130129872A (ko
Inventor
마사유끼 아사이
고이찌 혼다
마모루 우메모또
가즈유끼 오꾸다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2010240067A external-priority patent/JP5743488B2/ja
Priority claimed from JP2010263626A external-priority patent/JP5718031B2/ja
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20130129872A publication Critical patent/KR20130129872A/ko
Application granted granted Critical
Publication of KR101369615B1 publication Critical patent/KR101369615B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마를 이용하여 기판을 처리할 때에 기판이나 형성하는 막에 주는 데미지를 작게 하여, 기판 처리 온도를 낮게 한다.
기판(200)을 처리하는 처리실(201)과, 복수의 버퍼실(423, 433)과, 제1 처리 가스를 처리실에 공급하는 제1 처리 가스 공급계(301)와, 제2 처리 가스를 복수의 버퍼실에 공급하는 제2 처리 가스 공급계(302, 303)와, 고주파 전원(270)과, 플라즈마 발생용의 전극(471, 472, 481, 482)과, 기판을 가열하는 가열계(207)와, 표면에 금속막이 형성된 기판을, 제1 처리 가스 및 전극에 고주파 전력이 인가됨으로써 복수의 버퍼실의 내부에서 활성화되고, 복수의 버퍼실로부터 처리실로 공급된 제2 처리 가스에 노출하고, 기판을 제1 처리 가스의 자기 분석 온도 이하로 가열하면서 금속막 상에 막을 형성하도록 제1 처리 가스 공급계, 전원, 제2 처리 가스 공급계 및 가열계를 제어하는 제어 수단(280)을 구비한다.

Description

기판 처리 장치 및 반도체 장치의 제조 방법{SUBSTRATE PROCESS DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은, 기판 처리 장치 및 반도체 장치의 제조 방법에 관한 것으로, 특히, 플라즈마를 이용하여 기판을 처리하는 기판 처리 장치 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치 제조 공정의 하나로, 플라즈마를 이용한 CVD(Chemical Vapor Deposition)법이나 ALD(Atomic Layer Deposition)법을 이용하여 기판 상에 소정의 박막을 퇴적하는 성막 공정이 있다(일본 특개 2003-297818호 공보 참조). CVD법이란, 가스 상태 원료의 기상 및 표면에서의 반응을 이용하여, 원료 가스 분자에 포함되는 원소를 구성 요소로 하는 박막을 피처리 기판 상에 퇴적하는 방법이다. CVD법에서는, 형성하는 막을 구성하는 복수의 원소를 포함하는 복수 종류의 원료 가스 등을 동시에 피처리 기판 상에 공급하여 성막한다. ALD법의 경우, 형성하는 막을 구성하는 복수의 원소를 포함하는 복수 종류의 원료 가스 등을 교대로 피처리 기판 상에 공급하여 성막한다. CVD법에 대하여 피처리 기판의 온도를 낮게 하여 처리를 행할 수 있다. ALD법에서는, 박막 퇴적이 원자층 레벨로 제어된다. 그리고, 플라즈마는, CVD법에서 퇴적하는 박막의 화학 반응을 촉진하거나, 박막으로부터 불순물을 제거하거나, 혹은, ALD법에서는 흡착한 성막 원료의 화학 반응을 보조하거나 하기 위해서 이용된다.
그러나, 반도체 장치 제조에서의 단계적인 미세화에 수반하여, 보다 낮은 기판 온도에서 성막하는 것이 요구되도록 되고 있으며, 그를 위해서는, 플라즈마를 형성할 때의 고주파 전력을 크게 할 필요가 있다. 플라즈마를 형성할 때의 고주파 전력을 크게 하면, 기판이나 형성하는 막에 주는 데미지가 커지게 되어, 바람직하지 않다.
본 발명의 주된 목적은, 플라즈마를 이용하여 기판을 처리할 때에 기판이나 형성하는 막에 주는 데미지를 작게 할 수 있고, 게다가 기판 처리 온도를 낮게 할 수 있는 기판 처리 장치 및 반도체 장치의 제조 방법을 제공하는 것에 있다.
본 발명의 일 양태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되며, 상기 처리실로 개구하는 가스 공급구를 각각 갖는 복수의 버퍼실과,
제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와,
제2 처리 가스를 상기 복수의 버퍼실에 각각 공급 가능한 제2 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 복수의 버퍼실의 각각의 내부에서 상기 제2 처리 가스를 활성화시키는 플라즈마 발생용의 전극과,
상기 기판을 가열하는 가열계와,
표면에 금속막이 형성된 기판을, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 상기 복수의 버퍼실의 내부에서 활성화되고, 상기 복수의 버퍼실로부터 상기 처리실로 공급된 상기 제2 처리 가스에 노출하고, 상기 기판을 상기 제1 처리 가스의 자기 분석 온도 이하로 가열하면서 상기 금속막 상에 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계 및 상기 가열계를 제어하는 제어 수단
을 구비하는 기판 처리 장치가 제공된다.
본 발명의 다른 양태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되며, 상기 처리실로 개구하는 가스 공급구를 갖는 1개 이상의 버퍼실과,
제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와,
제2 처리 가스를 상기 1개 이상의 버퍼실에 공급 가능한 제2 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 버퍼실의 내부에서 상기 제2 처리 가스를 활성화시키는 플라즈마 발생용의 전극과,
표면에 금속막이 형성된 기판을, 상기 전극에 고주파 전력이 인가되지 않은 상태에서, 상기 제1 처리 가스 및 상기 제2 처리 가스에 노출하여 상기 금속막 상에 제1 막을 형성한 후, 상기 제1 막이 형성된 기판을, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 활성화된 상기 제2 처리 가스에 노출하여, 상기 금속막 상에 제2 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원 및 상기 제2 처리 가스 공급계를 제어하는 제어 수단
을 구비하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 양태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되며, 상기 처리실로 개구하는 가스 공급구를 갖는 1개 이상의 버퍼실과,
제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와,
제2 처리 가스를 상기 1개 이상의 버퍼실에 공급 가능한 제2 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 버퍼실의 내부에서 상기 제2 처리 가스를 활성화시키는 플라즈마 발생용의 전극과,
표면에 금속막이 형성된 기판을, 상기 제1 처리 가스에 노출한 후, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 활성화된 상기 제2 처리 가스에 노출하여, 상기 금속막 상에 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원 및 상기 제2 처리 가스 공급계를 제어하는 제어 수단
을 구비하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 양태에 따르면,
표면에 금속막이 형성된 기판을 처리실에 반입하는 공정과,
서로 독립된 복수의 처리 가스 공급계로부터, 제1 처리 가스 및 플라즈마 여기에 의해 활성화되지 않은 상태의 제2 처리 가스를 각각 상기 처리실에 공급하여, 상기 기판을 전처리하는 전처리 공정과,
상기 복수의 처리 가스 공급계로부터 상기 제1 처리 가스 및 플라즈마 여기에 의해 활성화된 상태의 상기 제2 처리 가스를 각각 상기 처리실에 공급하여, 상기 전처리된 기판 상에 소정의 막을 형성하는 성막 공정과,
상기 소정의 막이 형성된 기판을 상기 처리실로부터 반출하는 공정
을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 양태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되며, 상기 처리실로 개구하는 가스 공급구를 각각 갖는 복수의 버퍼실과,
제1 처리 가스를 상기 복수의 버퍼실에 각각 공급 가능한 제1 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 버퍼실의 내부에서 상기 제1 처리 가스를 활성화시키는 플라즈마 발생용의 전극과,
제2 처리 가스를 상기 처리실에 공급하는 제2 처리 가스 공급계와,
상기 처리실을 배기하는 배기계와,
기판을, 상기 활성화된 제1 처리 가스 및 상기 제2 처리 가스에 노출하고, 상기 기판을 200℃ 이하로 가열하면서 상기 기판 상에 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계 및 상기 배기계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
본 발명에 따르면, 플라즈마를 이용하여 기판을 처리할 때에 기판이나 형성하는 막에 주는 데미지를 작게 할 수 있고, 게다가 기판 처리 온도를 낮게 할 수 있는 기판 처리 장치 및 반도체 장치의 제조 방법이 제공된다.
도 1은 본 발명의 바람직한 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 구성을 설명하기 위한 개략 경사 투시도.
도 2는 본 발명의 바람직한 제1∼제3 실시 형태에서 바람직하게 이용되는 처리로의 일례와 그것에 부수되는 부재를 설명하기 위한 개략 구성도로서, 처리로 부분을 개략 종단면으로 나타내는 도면이며, 도 3의 B-B선 개략 종단면인 도면.
도 3은 도 2에 도시하는 처리로의 A-A선 개략 횡단면도.
도 4는 본 발명의 바람직한 제1∼제7 실시 형태의 기판 처리 장치에서 바람직하게 이용되는 컨트롤러와 그 컨트롤러에 의해 제어되는 각 부재를 설명하기 위한 블록도.
도 5는 본 발명의 바람직한 제1 실시 형태의 실리콘 질화막의 제조 프로세스를 설명하기 위한 플로우차트.
도 6은 본 발명의 바람직한 제1 실시 형태의 실리콘 질화막의 제조 프로세스를 설명하기 위한 타이밍차트.
도 7은 투입한 고주파 전력과, 발생한 파티클수의 관계를 도시한 도면.
도 8은 웨이퍼(200)의 면내의 전형적인 파티클의 분포를 도시하는 도면.
도 9는 본 발명의 바람직한 제2 실시 형태의 실리콘 질화막의 제조 프로세스를 설명하기 위한 플로우차트.
도 10은 본 발명의 바람직한 제2 실시 형태의 실리콘 질화막의 제조 프로세스에서의 전처리를 설명하기 위한 타이밍차트.
도 11은 본 발명의 바람직한 제3 실시 형태의 실리콘 질화막의 제조 프로세스를 설명하기 위한 플로우차트.
도 12는 본 발명의 바람직한 제1∼제3 실시 형태의 변형예를 설명하기 위한 개략 횡단면도.
도 13은 본 발명의 바람직한 제1∼제3 실시 형태의 변형예를 설명하기 위한 개략 횡단면도.
도 14는 본 발명의 바람직한 제1∼제3 실시 형태의 변형예를 설명하기 위한 개략 횡단면도.
도 15는 본 발명의 바람직한 제4, 제5 실시 형태를 설명하기 위한 개략 횡단면도.
도 16은 본 발명의 바람직한 제6, 제7 실시 형태에서 바람직하게 이용되는 처리로의 일례와 그것에 부수되는 부재를 설명하기 위한 개략 구성도로서, 처리로 부분을 개략 종단면으로 나타내는 도면이며, 도 17의 E-E선 개략 종단면인 도면.
도 17은 도 16에 도시하는 처리로의 D-D선 개략 횡단면도.
도 18은 본 발명의 바람직한 제7 실시 형태를 설명하기 위한 개략 횡단면도.
도 19는 본 발명의 바람직한 제8 실시 형태에서 바람직하게 이용되는 처리로의 일례와 그것에 부수되는 부재를 설명하기 위한 개략 구성도로서, 처리로 부분을 개략 종단면으로 나타내는 도면이며, 도 20의 B-B선 개략 종단면인 도면.
도 20은 도 19에 도시하는 처리로의 A-A선 개략 횡단면도.
도 21은 비교예를 설명하기 위한 개략 횡단면도.
도 22는 본 발명의 바람직한 제8 실시 형태의 실시예와 비교예에서의, 성막 조건을 설명하기 위한 표를 도시하는 도면.
도 23은 본 발명의 바람직한 제8 실시 형태의 실시예와 비교예에서의, 고주파 전력과 파티클의 관계를 설명하기 위한 표를 도시하는 도면.
도 24는 본 발명의 바람직한 제8 실시 형태의 실시예와 비교예에서의, 고주파 전력과 파티클의 관계를 설명하기 위한 도면.
도 25는 본 발명의 바람직한 제9 실시 형태에서 바람직하게 이용되는 처리로의 일례와 그것에 부수되는 부재를 설명하기 위한 개략 구성도로서, 처리로 부분을 개략 종단면으로 나타내는 도면이며, 도 26의 B-B선 개략 종단면인 도면.
도 26은 도 25에 도시하는 처리로의 A-A선 개략 횡단면도.
도 27은 도 26의 C부의 부분 확대 개략 경사 투시도.
도 28은 도 26의 C부의 부분 확대 개략 종단면도.
도 29는 본 발명의 바람직한 제9 실시 형태의 기판 처리 장치에서 바람직하게 이용되는 컨트롤러와 그 컨트롤러에 의해 제어되는 각 부재를 설명하기 위한 블록도.
도 30a는 레지스트 패턴의 형성 방법을 설명하기 위한 개략 종단면도.
도 30b는 레지스트 패턴의 형성 방법을 설명하기 위한 개략 종단면도.
도 30c는 레지스트 패턴의 형성 방법을 설명하기 위한 개략 종단면도.
도 30d는 레지스트 패턴의 형성 방법을 설명하기 위한 개략 종단면도.
도 30e는 레지스트 패턴의 형성 방법을 설명하기 위한 개략 종단면도.
도 30f는 레지스트 패턴의 형성 방법을 설명하기 위한 개략 종단면도.
도 31a는 패턴의 다른 형성 방법을 설명하기 위한 개략 종단면도.
도 31b는 패턴의 다른 형성 방법을 설명하기 위한 개략 종단면도.
도 31c는 패턴의 다른 형성 방법을 설명하기 위한 개략 종단면도.
도 31d는 패턴의 다른 형성 방법을 설명하기 위한 개략 종단면도.
도 32는 패턴을 형성할 때에 사용하는 산화 실리콘막의 제조 프로세스를 설명하기 위한 플로우차트.
도 33은 패턴을 형성할 때에 사용하는 산화 실리콘막의 제조 프로세스를 설명하기 위한 타이밍차트.
도 34는 본 발명의 바람직한 제9 실시 형태의 변형예를 설명하기 위한 개략 횡단면도.
도 35는 본 발명의 바람직한 제9 실시 형태의 변형예를 설명하기 위한 개략 횡단면도.
도 36은 본 발명의 바람직한 제9 실시 형태의 변형예를 설명하기 위한 개략 횡단면도.
도 37은 본 발명의 바람직한 제10 실시 형태를 설명하기 위한 부분 확대 개략 경사 투시도.
도 38은 본 발명의 바람직한 제10 실시 형태를 설명하기 위한 부분 확대 개략 종단면도.
도 39는 본 발명의 바람직한 제11 실시 형태를 설명하기 위한 부분 확대 개략 경사 투시도.
도 40은 본 발명의 바람직한 제11 실시 형태를 설명하기 위한 부분 확대 개략 종단면도.
도 41은 본 발명의 바람직한 제12 실시 형태에서 바람직하게 이용되는 처리로의 일례와 그것에 부수되는 부재를 설명하기 위한 개략 구성도로서, 처리로 부분을 개략 종단면으로 나타내는 도면이며, 도 42의 E-E선 개략 종단면인 도면.
도 42는 도 41에 도시하는 처리로의 D-D선 개략 횡단면도.
이하, 본 발명의 바람직한 실시 형태에 대하여 도면을 참조하면서 설명한다.
우선, 본 발명의 각 바람직한 실시 형태에서 바람직하게 사용되는 기판 처리 장치에 대하여 설명한다. 이 기판 처리 장치는, 반도체 장치의 제조에 사용되는 반도체 제조 장치의 일례로서 구성되어 있는 것이다.
하기의 설명에서는, 기판 처리 장치의 일례로서, 기판에 대하여 성막 처리 등을 행하는 종형의 장치를 사용한 경우에 대하여 설명한다. 그러나, 본 발명은, 종형 장치의 사용을 전제로 한 것은 아니며, 예를 들면, 매엽 장치를 사용해도 된다.
도 1을 참조하면, 기판 처리 장치(101)에서는, 기판의 일례로 되는 웨이퍼(200)를 수납한 카세트(110)가 사용되고 있고, 웨이퍼(200)는 반도체 실리콘 등의 재료로 구성되어 있다. 기판 처리 장치(101)는 케이스(111)를 구비하고 있고, 케이스(111)의 내부에는 카세트 스테이지(114)가 설치되어 있다. 카세트(110)는 카세트 스테이지(114) 상에 공정 내 반송 장치(도시 생략)에 의해 반입되거나, 카세트 스테이지(114) 상으로부터 반출되거나 한다.
카세트 스테이지(114) 상에는 카세트(110)가, 공정 내 반송 장치(도시 생략)에 의해, 카세트(110) 내의 웨이퍼(200)가 수직 자세를 유지하고 또한 카세트(110)의 웨이퍼 출납구가 상방향을 향하도록 재치된다. 카세트 스테이지(114)는, 카세트(110)를 케이스(111)의 후방으로 우회전 세로 방향 90°회전하여, 카세트(110) 내의 웨이퍼(200)가 수평 자세로 되고, 카세트(110)의 웨이퍼 출납구가 케이스(111)의 후방을 향하도록 동작 가능하게 되도록 구성되어 있다.
케이스(111) 내의 전후 방향의 대략 중앙부보다 전방부에는 카세트 선반(105)이 설치되어 있고, 카세트 선반(105)은 복수단 복수열로 복수개의 카세트(110)를 보관하도록 구성되어 있다. 카세트 선반(105)에는 웨이퍼 이동 탑재 기구(125)의 반송 대상으로 되는 카세트(110)가 수납되는 이동 탑재 선반(123)이 설치되어 있다.
카세트 스테이지(114)의 상방에는 예비 카세트 선반(107)이 설치되어, 예비적으로 카세트(110)를 보관하도록 구성되어 있다.
카세트 스테이지(114)와 카세트 선반(105) 사이에는, 카세트 반송 장치(118)가 설치되어 있다. 카세트 반송 장치(118)는, 카세트(110)를 보유 지지한 채로 승강 가능한 카세트 엘리베이터(118a)와, 반송 기구로서의 카세트 반송 기구(118b)를 구비하고 있다. 카세트 반송 장치(118)는 카세트 엘리베이터(118a)와 카세트 반송 기구(118b)의 연동 동작에 의해, 카세트 스테이지(114)와 카세트 선반(105)과 예비 카세트 선반(107) 사이에서, 카세트(110)를 반송하도록 구성되어 있다.
카세트 선반(105)의 후방에는, 웨이퍼 이동 탑재 기구(125)가 설치되어 있다. 웨이퍼 이동 탑재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 또는 직접 이동 가능한 웨이퍼 이동 탑재 장치(125a)와, 웨이퍼 이동 탑재 장치(125a)를 승강시키기 위한 웨이퍼 이동 탑재 장치 엘리베이터(125b)를 구비하고 있다. 웨이퍼 이동 탑재 장치(125a)에는 웨이퍼(200)를 픽업하기 위한 트위저(125c)가 설치되어 있다. 웨이퍼 이동 탑재 장치(125)는 웨이퍼 이동 탑재 장치(125a)와 웨이퍼 이동 탑재 장치 엘리베이터(125b)의 연동 동작에 의해, 트위저(125c)를 웨이퍼(200)의 재치부로 하여, 웨이퍼(200)를 보트(217)에 대하여 장전(차징)하거나, 보트(217)로부터 탈장(디스차징)하거나 하도록 구성되어 있다.
케이스(111)의 후부 상방에는, 웨이퍼(200)를 열처리하는 처리로(202)가 설치되어 있고, 처리로(202)의 하단부가 노구 셔터(147)에 의해 개폐되도록 구성되어 있다.
처리로(202)의 하방에는 처리로(202)에 대하여 보트(217)를 승강시키는 보트 엘리베이터(115)가 설치되어 있다. 보트 엘리베이터(115)의 승강대에는 아암(128)이 연결되어 있고, 아암(128)에는 시일 캡(219)이 수평하게 설치되어 있다. 시일 캡(219)은 보트(217)를 수직으로 지지함과 함께, 처리로(202)의 하단부를 폐색 가능하도록 구성되어 있다.
보트(217)는 복수의 보유 지지 부재를 구비하고 있고, 복수매(예를 들면 50∼150매 정도)의 웨이퍼(200)를 그 중심을 일치시켜 수직 방향으로 정렬시킨 상태에서, 각각 수평하게 보유 지지하도록 구성되어 있다.
카세트 선반(105)의 상방에는, 청정화한 분위기인 크린 에어를 공급하는 크린 유닛(134a)이 설치되어 있다. 크린 유닛(134a)은 공급 팬(도시 생략) 및 방진 필터(도시 생략)를 구비하고 있어, 크린 에어를 케이스(111)의 내부에 유통시키도록 구성되어 있다.
케이스(111)의 좌측 단부에는, 크린 에어를 공급하는 크린 유닛(134b)이 설치되어 있다. 크린 유닛(134b)도 공급 팬(도시 생략) 및 방진 필터(도시 생략)를 구비하고 있어, 크린 에어를 웨이퍼 이동 탑재 장치(125a)나 보트(217) 등의 근방을 유통시키도록 구성되어 있다. 그 크린 에어는, 웨이퍼 이동 탑재 장치(125a)나 보트(217) 등의 근방을 유통한 후에, 케이스(111)의 외부로 배기되도록 되어 있다.
계속해서, 기판 처리 장치(101)의 주된 동작에 대하여 설명한다.
공정 내 반송 장치(도시 생략)에 의해 카세트(110)가 카세트 스테이지(114) 상에 반입되면, 카세트(110)는, 웨이퍼(200)가 카세트 스테이지(114) 상에서 수직자세를 유지하고, 카세트(110)의 웨이퍼 출납구가 상방향을 향하도록 카세트 스테이지(114) 상에 재치된다. 그 후, 카세트(110)는, 카세트 스테이지(114)에 의해, 카세트(110) 내의 웨이퍼(200)가 수평 자세로 되고, 카세트(110)의 웨이퍼 출납구가 케이스(111)의 후방을 향하도록, 케이스(111)의 후방으로 우방향 세로 방향 90° 회전시켜진다.
그 후, 카세트(110)는, 카세트 선반(105) 또한 예비 카세트 선반(107)의 지정된 선반 위치에 카세트 반송 장치(118)에 의해 자동적으로 반송되어 전달되어, 일시적으로 보관된 후, 카세트 선반(105) 또한 예비 카세트 선반(107)으로부터 카세트 반송 장치(118)에 의해 이동 탑재 선반(123)에 이동 탑재되거나, 혹은 직접 이동 탑재 선반(123)에 반송된다.
카세트(110)가 이동 탑재 선반(123)에 이동 탑재되면, 웨이퍼(200)는 카세트(110)로부터 웨이퍼 이동 탑재 장치(125a)의 트위저(125c)에 의해 카세트(110)의 웨이퍼 출납구를 통하여 픽업되어, 보트(217)에 장전(차징)된다. 보트(217)에 웨이퍼(200)를 전달한 웨이퍼 이동 탑재 장치(125a)는 카세트(110)로 되돌아가서, 후속의 웨이퍼(200)를 보트(217)에 장전한다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 처리로(202)의 하단부를 닫고 있던 노구 셔터(147)가 열려, 처리로(202)의 하단부가 개방된다. 그 후, 웨이퍼(200)군을 보유 지지한 보트(217)가 보트 엘리베이터(115)의 상승 동작에 의해 처리로(202) 내에 반입(로딩)되고, 처리로(202)의 하부가 시일 캡(219)에 의해 폐색된다.
로딩 후에는, 처리로(202)에서 웨이퍼(200)에 대하여 임의의 처리가 실시된다. 그 처리 후에는, 전술한 반대의 수순으로, 웨이퍼(200) 및 카세트(110)가 케이스(111)의 외부로 반출된다.
(제1∼제7 실시 형태)
다음으로, 본 발명의 바람직한 제1∼제7 실시 형태의 배경에 대하여 설명한다.
예를 들면, 기판 온도 650℃ 이하의 저온에서, DCS(디클로로실란)와 플라즈마 여기한 NH3(암모니아)를 이용하여 ALD법에 의해 기판 상에의 아몰퍼스 실리콘 질화막의 형성이 행해지고 있다. ALD법에 의한 기판 상에의 아몰퍼스 실리콘 질화막의 형성은, 기판 상에의 DCS의 공급 공정, DCS 등의 잔류 가스의 제거 공정, 플라즈마 여기한 NH3 공급 공정, NH3 등의 잔류 가스의 제거 공정의 4개의 공정을 반복하여 행함(사이클 처리)으로써 행해진다. ALD법에서는 사이클 처리의 수로 막 두께를 제어할 수 있다.
최근의 디바이스의 미세화에 수반하여, 300℃ 전후의 온도에서, 기판 표면 상에 형성된 금속막 상에, 상기한 바와 같은 플라즈마를 이용한 ALD법에 의한 아몰퍼스 실리콘 질화막을 형성하는 것이 시도되고 있지만, 이와 같은 저온에서 아몰퍼스 실리콘 질화막을 형성하면, 금속막과 아몰퍼스 실리콘 질화막의 밀착성이 나빠, 아몰퍼스 실리콘 질화막이 박리된다고 하는 문제가 있다.
본 발명자들은, 플라즈마를 이용한 ALD법에 의해 아몰퍼스 실리콘 질화막을 형성하면, 파티클이 발생하고, 파티클수가 많으면, 금속막과 아몰퍼스 실리콘 질화막의 밀착성이 나빠, 아몰퍼스 실리콘 질화막이 박리되기 쉽다고 하는 것을 발견하였다.
본 발명자들은, 또한, 기판 온도가 400℃ 이상으로 높은 경우에는, DCS는 화학 흡착으로 되기 쉽지만, 기판 온도가 400℃보다 낮아지면, DCS는 화학 흡착보다도 물리 흡착이 주체로 되어, 기판 표면 상에 형성된 금속막과의 결합수를 형성하기 어려워져, 밀착성이 나빠졌다고 생각하였다.
이하에 설명하는 본 발명의 바람직한 제1∼제7 실시 형태는, 이와 같은 지견이나 고찰에 기초하는 것이며, 특히, 저온(350℃ 이하)에서, 플라즈마를 이용한 ALD법에 의해 아몰퍼스 실리콘 질화막을 형성하면 발생하는 파티클의 수를 줄여서 밀착성을 개선하거나, 또는, 플라즈마를 이용한 ALD법에 의해 아몰퍼스 실리콘 질화막을 형성하기 전에 전처리를 행하여 밀착성을 개선하였다.
(제1 실시 형태)
다음으로 도 2, 도 3을 참조하여 전술한 기판 처리 장치(101)에 사용되는 제1 실시 형태의 처리로(202)에 대하여 설명한다.
도 2 및 도 3을 참조하면, 처리로(202)에는 웨이퍼(200)를 가열하기 위한 가열 장치(가열 수단)인 히터(207)가 설치되어 있다. 히터(207)는 상방이 폐색된 원통 형상의 단열 부재와 복수개의 히터 소선을 구비하고 있고, 단열 부재에 대하여 히터 소선이 설치된 유닛 구성을 갖고 있다. 히터(207)의 내측에는, 웨이퍼(200)를 처리하기 위한 석영제의 반응관(203)이 히터(207)와 동심원 형상으로 설치되어 있다.
반응관(203)의 하방에는, 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개체로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은 반응관(203)의 하단에 수직 방향 하측으로부터 맞닿도록 되어 있다. 시일 캡(219)은 예를 들면 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 반응관(203)의 하부 개구 단부에 설치된 고리 형상의 플랜지와 시일 캡(219)의 상면 사이에는 기밀 부재(이하 O링)(220)가 배치되어, 양자의 사이는 기밀하게 시일되어 있다. 적어도, 반응관(203) 및 시일 캡(219)에 의해 처리실(201)이 형성되어 있다.
시일 캡(219) 상에는 보트(217)를 지지하는 보트 지지대(218)가 설치되어 있다. 보트 지지대(218)는, 예를 들면 석영이나 탄화규소 등의 내열성 재료로 구성되어 단열부로서 기능함과 함께 보트를 지지하는 지지체로 되어 있다. 보트(217)는, 보트 지지대(218) 상에 세워 설치되어 있다. 보트(217)는 예를 들면 석영이나 탄화규소 등의 내열성 재료로 구성되어 있다. 보트(217)는 보트 지지대(218)에 고정된 바닥판(210)과 그 상측에 배치된 상부판(211)을 갖고 있고, 바닥판(210)과 상부판(211) 사이에 복수개의 지주(212)가 가설된 구성을 갖고 있다(도 1 참조). 보트(217)에는 복수매의 웨이퍼(200)가 보유 지지되어 있다. 복수매의 웨이퍼(200)는, 서로 일정한 간격을 두면서 수평 자세를 유지하고 또한 서로 중심을 일치시킨 상태에서 반응관(203)의 관축 방향으로 다단으로 적재되어 보트(217)의 지주(212)에 지지되어 있다.
시일 캡(219)의 처리실(201)과 반대측에는 보트를 회전시키는 보트 회전 기구(267)가 설치되어 있다. 보트 회전 기구(267)의 회전축(265)은 시일 캡을 관통하여 보트 지지대(218)에 접속되어 있고, 회전 기구(267)에 의해, 보트 지지대(218)를 통하여 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시킨다.
시일 캡(219)은 반응관(203)의 외부에 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되고, 이에 의해 보트(217)를 처리실(201) 내에 대하여 반입 반출하는 것이 가능하게 되어 있다.
이상의 처리로(202)에서는, 배치 처리(batch processing)되는 복수매의 웨이퍼(200)가 보트(217)에 대하여 다단으로 적층된 상태에서, 보트(217)가 보트 지지대(218)에 의해 지지되면서 처리실(201)에 삽입되고, 히터(207)가 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열하도록 되어 있다.
도 2 및 도 3을 참조하면, 원료 가스를 공급하기 위한 3개의 가스 공급관(310, 320, 330)이 접속되어 있다.
처리실(201) 내에는, 노즐(410, 420, 430)이 설치되어 있다. 노즐(410, 420, 430)은, 반응관(203)의 하부를 관통하여 설치되어 있다. 노즐(410)에는 가스 공급관(310)이 접속되고, 노즐(420)에는 가스 공급관(320)이 접속되고, 노즐(430)에는 가스 공급관(330)이 접속되어 있다.
가스 공급관(310)에는, 상류측으로부터 순서대로, 유량 제어 장치인 매스 플로우 컨트롤러(312), 개폐 밸브인 밸브(314), 가스 저장소(315), 및 개폐 밸브인 밸브(313)가 설치되어 있다.
가스 공급관(310)의 하류측의 단부는, 노즐(410)의 단부에 접속되어 있다. 노즐(410)은, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에서, 반응관(203)의 내벽의 하부로부터 상부를 따라서 웨이퍼(200)의 적재 방향 상방을 향하여 올라가도록 설치되어 있다. 노즐(410)은 L자형의 롱 노즐로서 구성되어 있다. 노즐(410)의 측면에는 원료 가스를 공급하는 다수의 가스 공급 구멍(411)이 형성되어 있다. 가스 공급 구멍(411)은 반응관(203)의 중심을 향하도록 개구되어 있다. 가스 공급 구멍(411)은, 하부로부터 상부에 걸쳐 동일 또는 크기에 경사를 준 개구 면적을 갖고, 동일한 피치로 형성되어 있다.
가스 공급관(310)의 도중에, 가스 공급관(310)으로부터 공급되는 가스를 저장하는 가스 저장소(315)가 설치되어 있다. 이 가스 저장소(315)는, 예를 들면 통상의 배관보다도 가스 용량이 큰 가스 탱크 또는 나선 배관 등으로 구성한다. 가스 저장소(315)의 상류측의 밸브(314) 및 하류측의 밸브(313)를 개폐함으로써, 가스 공급관(310)으로부터 공급되는 가스를 가스 저장소(315)에 저장하거나, 가스 저장소(315)에 저장한 가스를 처리실(201)에 공급할 수 있도록 되어 있다.
또한, 가스 공급관(310)에는, 밸브(314) 및 매스 플로우 컨트롤러(312)와의 사이에, 후술하는 배기관(232)에 접속된 벤트 라인(610) 및 밸브(612)가 설치되어 있다.
주로, 가스 공급관(310), 매스 플로우 컨트롤러(312), 밸브(314), 가스 저장소(315), 밸브(313), 노즐(410), 벤트 라인(610), 밸브(612)에 의해 가스 공급계(301)가 구성되어 있다.
또한, 가스 공급관(310)에는 캐리어 가스(불활성 가스)를 공급하기 위한 캐리어 가스 공급관(510)이, 밸브(313)의 하류측에서 접속되어 있다. 캐리어 가스 공급관(510)에는 매스 플로우 컨트롤러(512) 및 밸브(513)가 설치되어 있다. 주로, 캐리어 가스 공급관(510), 매스 플로우 컨트롤러(512), 밸브(513)에 의해 캐리어 가스 공급계(불활성 가스 공급계)(501)가 구성되어 있다.
가스 공급관(310)에서는, 밸브(313)를 닫고, 밸브(314)를 연 상태에서, 기체 원료 가스가 매스 플로우 컨트롤러(312)에 의해 유량 조정되어 가스 저장소(315)에 공급되어, 가스 저장소(315)에 저장된다. 소정의 양이 가스 저장소(315)에 저장되면, 밸브(314)를 닫는다.
원료 가스를 가스 저장소(315)에 공급하지 않는 동안에는, 밸브(314)를 닫고, 밸브(612)를 열어, 밸브(612)를 통하여 원료 가스를 벤트 라인(610)으로 흘려 둔다.
그리고, 원료 가스를 처리실(201)에 공급할 때에는, 밸브(314, 513)를 닫은 상태에서, 밸브(313)를 열어, 원료 가스를 밸브(313)의 하류의 가스 공급관(310)을 통하여, 처리실(201)에 단숨에 공급한다.
가스 공급관(320)에는, 상류측으로부터 순서대로, 유량 제어 장치인 매스 플로우 컨트롤러(322) 및 개폐 밸브인 밸브(323)가 설치되어 있다.
가스 공급관(320)의 하류측의 단부는, 노즐(420)의 단부에 접속되어 있다. 노즐(420)은, 가스 분산 공간(방전실, 방전 공간)인 버퍼실(423) 내에 설치되어 있다. 버퍼실(423) 내에는, 후술하는 전극 보호관(451, 452)이 더 설치되어 있다. 노즐(420), 전극 보호관(451), 전극 보호관(452)이 버퍼실(423) 내에 이 순서로 배치되어 있다.
버퍼실(423)은, 반응관(203)의 내벽과 버퍼실벽(424)에 의해 형성되어 있다. 버퍼실벽(424)은, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라서 설치되어 있다. 버퍼실벽(424)의 웨이퍼(200)와 인접하는 벽에는 가스를 공급하는 가스 공급 구멍(425)이 형성되어 있다. 가스 공급 구멍(425)은, 전극 보호관(451)과 전극 보호관(452) 사이에 형성되어 있다. 가스 공급 구멍(425)은 반응관(203)의 중심을 향하도록 개구되어 있다. 가스 공급 구멍(425)은, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 피치로 형성되어 있다.
노즐(420)은, 버퍼실(423)의 일단측에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향하여 올라가도록 설치되어 있다. 노즐(420)은, L자형의 롱 노즐로서 구성되어 있다. 노즐(420)의 측면에는 가스를 공급하는 가스 공급 구멍(421)이 형성되어 있다. 가스 공급 구멍(421)은 버퍼실(423)의 중심을 향하도록 개구되어 있다. 가스 공급 구멍(421)은, 버퍼실(423)의 가스 공급 구멍(425)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어 있다. 복수의 가스 공급 구멍(421)의 각각의 개구 면적은, 버퍼실(423) 내와 노즐(420) 내의 차압이 작은 경우에는, 상류측(하부)부터 하류측(상부)까지, 동일한 개구 면적으로 동일한 피치로 하면 되지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향하여, 순차적으로 개구 면적을 크게 하거나, 피치를 작게 하면 된다.
본 실시 형태에서는, 노즐(420)의 가스 공급 구멍(421)의 각각의 개구 면적이나 개구 피치를, 상류측으로부터 하류측에 걸쳐 전술한 바와 같이 조절함으로써, 우선, 가스 공급 구멍(421)의 각각으로부터, 유속의 차는 있지만, 유량이 거의 동량인 가스를 분출시킨다. 그리고 가스 공급 구멍(421)의 각각으로부터 분출되는 가스를, 일단, 버퍼실(423) 내에 도입하고, 버퍼실(423) 내에서 가스의 유속차의 균일화를 행하고 있다.
즉, 노즐(420)의 가스 공급 구멍(421)의 각각으로부터 버퍼실(423) 내로 분출된 가스는 버퍼실(423) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(423)의 가스 공급 구멍(425)으로부터 처리실(201) 내로 분출된다. 이에 의해, 노즐(420)의 가스 공급 구멍(421)의 각각으로부터 버퍼실(423) 내로 분출된 가스는, 버퍼실(423)의 가스 공급 구멍(425)의 각각으로부터 처리실(201) 내로 분출될 때에는, 균일한 유량과 유속을 갖는 가스로 된다.
또한, 가스 공급관(320)에는, 밸브(323) 및 매스 플로우 컨트롤러(322)와의 사이에, 후술하는 배기관(232)에 접속된 벤트 라인(620) 및 밸브(622)가 설치되어 있다.
주로, 가스 공급관(320), 매스 플로우 컨트롤러(322), 밸브(323), 노즐(420), 버퍼실(423), 벤트 라인(620), 밸브(622)에 의해 가스 공급계(302)가 구성되어 있다.
또한, 가스 공급관(320)에는 캐리어 가스(불활성 가스)를 공급하기 위한 캐리어 가스 공급관(520)이, 밸브(323)의 하류측에서 접속되어 있다. 캐리어 가스 공급관(520)에는 매스 플로우 컨트롤러(522) 및 밸브(523)가 설치되어 있다. 주로, 캐리어 가스 공급관(520), 매스 플로우 컨트롤러(522), 밸브(523)에 의해 캐리어 가스 공급계(불활성 가스 공급계)(502)가 구성되어 있다.
가스 공급관(320)에서는, 기체 원료 가스가 매스 플로우 컨트롤러(322)에 의해 유량 조정되어 공급된다.
원료 가스를 처리실(201)에 공급하고 있지 않는 동안은, 밸브(323)를 닫고, 밸브(622)를 열어, 밸브(622)를 통하여 원료 가스를 벤트 라인(620)으로 흘려 둔다.
그리고, 원료 가스를 처리실(201)에 공급할 때에는, 밸브(622)를 닫고, 밸브(323)를 열어, 원료 가스를 밸브(323)의 하류의 가스 공급관(320)에 공급한다. 한편, 캐리어 가스가 매스 플로우 컨트롤러(522)에 의해 유량 조정되어 밸브(523)를 통하여 캐리어 가스 공급관(520)으로부터 공급되고, 원료 가스는 밸브(323)의 하류측에서 이 캐리어 가스와 합류하고, 노즐(420), 버퍼실(423)을 통하여 처리실(201)에 공급된다.
가스 공급관(330)에는, 상류측으로부터 순서대로, 유량 제어 장치인 매스 플로우 컨트롤러(332) 및 개폐 밸브인 밸브(333)가 설치되어 있다.
가스 공급관(330)의 하류측의 단부는, 노즐(430)의 단부에 접속되어 있다. 노즐(430)은, 가스 분산 공간(방전실, 방전 공간)인 버퍼실(433) 내에 설치되어 있다. 버퍼실(433) 내에는, 후술하는 전극 보호관(461, 462)이 더 설치되어 있다. 노즐(430), 전극 보호관(461), 전극 보호관(462)이 버퍼실(433) 내에 이 순서로 배치되어 있다.
버퍼실(433)은, 반응관(203)의 내벽과 버퍼실벽(434)에 의해 형성되어 있다. 버퍼실벽(434)은, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에, 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에, 웨이퍼(200)의 적재 방향을 따라서 설치되어 있다. 버퍼실벽(434)의 웨이퍼(200)와 인접하는 벽에는 가스를 공급하는 가스 공급 구멍(435)이 형성되어 있다. 가스 공급 구멍(435)은, 전극 보호관(461)과 전극 보호관(462) 사이에 형성되어 있다. 가스 공급 구멍(435)은 반응관(203)의 중심을 향하도록 개구되어 있다. 가스 공급 구멍(435)은, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 피치로 형성되어 있다.
노즐(430)은, 버퍼실(433)의 일단측에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향하여 올라가도록 설치되어 있다. 노즐(430)은, L자형의 롱 노즐로서 구성되어 있다. 노즐(430)의 측면에는 가스를 공급하는 가스 공급 구멍(431)이 형성되어 있다. 가스 공급 구멍(431)은 버퍼실(433)의 중심을 향하도록 개구되어 있다. 가스 공급 구멍(431)은, 버퍼실(433)의 가스 공급 구멍(435)과 마찬가지로, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되어 있다. 복수의 가스 공급 구멍(431)의 각각의 개구 면적은, 버퍼실(433) 내와 노즐(430) 내의 차압이 작은 경우에는, 상류측(하부)부터 하류측(상부)까지, 동일한 개구 면적으로 동일한 피치로 하면 되지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향하여, 순차적으로 개구 면적을 크게 하거나, 피치를 작게 하면 된다.
본 실시 형태에서는, 노즐(430)의 가스 공급 구멍(431)의 각각의 개구 면적이나 개구 피치를, 상류측으로부터 하류측에 걸쳐 전술한 바와 같이 조절함으로써, 우선, 가스 공급 구멍(431)의 각각으로부터, 유속의 차는 있지만, 유량이 거의 동량인 가스를 분출시킨다. 그리고 가스 공급 구멍(431)의 각각으로부터 분출되는 가스를, 일단, 버퍼실(433) 내에 도입하여, 버퍼실(433) 내에서 가스의 유속차의 균일화를 행하고 있다.
즉, 노즐(430)의 가스 공급 구멍(431)의 각각으로부터 버퍼실(433) 내로 분출된 가스는 버퍼실(433) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(433)의 가스 공급 구멍(435)으로부터 처리실(201) 내로 분출된다. 이에 의해, 노즐(430)의 가스 공급 구멍(431)의 각각으로부터 버퍼실(433) 내로 분출된 가스는, 버퍼실(433)의 가스 공급 구멍(435)의 각각으로부터 처리실(201) 내로 분출될 때에는, 균일한 유량과 유속을 갖는 가스로 된다.
또한, 가스 공급관(330)에는, 밸브(333) 및 매스 플로우 컨트롤러(332)와의 사이에, 후술하는 배기관(232)에 접속된 벤트 라인(630) 및 밸브(632)가 설치되어 있다.
주로, 가스 공급관(330), 매스 플로우 컨트롤러(332), 밸브(333), 노즐(430), 버퍼실(433), 벤트 라인(630), 밸브(632)에 의해 가스 공급계(303)가 구성되어 있다.
또한, 가스 공급관(330)에는 캐리어 가스(불활성 가스)를 공급하기 위한 캐리어 가스 공급관(530)이, 밸브(333)의 하류측에서 접속되어 있다. 캐리어 가스 공급관(530)에는 매스 플로우 컨트롤러(532) 및 밸브(533)가 설치되어 있다. 주로, 캐리어 가스 공급관(530), 매스 플로우 컨트롤러(532), 밸브(533)에 의해 캐리어 가스 공급계(불활성 가스 공급계)(503)가 구성되어 있다.
가스 공급관(330)에서는, 기체 원료 가스가 매스 플로우 컨트롤러(332)에 의해 유량 조정되어 공급된다.
원료 가스를 처리실(201)에 공급하고 있지 않는 동안은, 밸브(333)를 닫고, 밸브(632)를 열어, 밸브(632)를 통하여 원료 가스를 벤트 라인(630)으로 흘려 둔다.
그리고, 원료 가스를 처리실(201)에 공급할 때에는, 밸브(632)를 닫고, 밸브(333)를 열어, 원료 가스를 밸브(333)의 하류의 가스 공급관(330)에 공급한다. 한편, 캐리어 가스가 매스 플로우 컨트롤러(532)에 의해 유량 조정되어 밸브(533)를 통하여 캐리어 가스 공급관(530)으로부터 공급되고, 원료 가스는 밸브(333)의 하류측에서 이 캐리어 가스와 합류하고, 노즐(430), 버퍼실(433)를 통하여 처리실(201)에 공급된다.
버퍼실(423) 내에는, 가늘고 긴 구조를 갖는 막대 형상 전극(471) 및 막대 형상 전극(472)이, 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라서 배설되어 있다. 막대 형상 전극(471) 및 막대 형상 전극(472)은, 각각, 노즐(420)과 평행하게 설치되어 있다. 막대 형상 전극(471) 및 막대 형상 전극(472)은, 각각, 상부로부터 하부에 걸쳐 전극을 보호하는 보호관인 전극 보호관(451, 452)에 의해 덮여짐으로써 보호되고 있다. 막대 형상 전극(471)은, 정합기(271)를 통하여 고주파(RF:Radio Frequency) 전원(270)에 접속되고, 막대 형상 전극(472)은 기준 전위인 어스(272)에 접속되어 있다. 이 결과, 막대 형상 전극(471) 및 막대 형상 전극(472) 사이의 플라즈마 생성 영역에 플라즈마가 생성된다. 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 제1 플라즈마 발생 구조(429)가 구성된다. 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 제1 플라즈마원이 구성된다. 제1 플라즈마원은, 가스를 플라즈마로 활성화시키는 활성화 기구로서 기능한다. 버퍼실(423)은 플라즈마 발생실로서 기능한다.
버퍼실(433) 내에는, 가늘고 긴 구조를 갖는 막대 형상 전극(481) 및 막대 형상 전극(482)이, 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라서 배설되어 있다. 막대 형상 전극(481) 및 막대 형상 전극(482)은, 각각, 노즐(430)과 평행하게 설치되어 있다. 막대 형상 전극(481) 및 막대 형상 전극(482)은, 각각, 상부로부터 하부에 걸쳐 전극을 보호하는 보호관인 전극 보호관(461, 462)에 의해 덮여짐으로써 보호되고 있다. 막대 형상 전극(481)은, 정합기(271)를 통하여 고주파 전원(270)에 접속되고, 막대 형상 전극(482)은 기준 전위인 어스(272)에 접속되어 있다. 이 결과, 막대 형상 전극(481) 및 막대 형상 전극(482) 간의 플라즈마 생성 영역에 플라즈마가 생성된다. 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 제2 플라즈마 발생 구조(439)가 구성된다. 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 제2 플라즈마원이 구성된다. 제2 플라즈마원은, 가스를 플라즈마로 활성화시키는 활성화 기구로서 기능한다. 버퍼실(433)은 플라즈마 발생실로서 기능한다.
전극 보호관(451), 전극 보호관(452)은, 보트 지지대(218)의 하부 부근의 높이의 위치에서, 반응관(203)에 형성한 관통 구멍(도시 생략)을 각각 통하여, 버퍼실(423) 내에 삽입되어 있다. 전극 보호관(461), 전극 보호관(462)은, 보트 지지대(218)의 하부 부근의 높이의 위치에서, 반응관(203)에 형성한 관통 구멍(도시 생략)을 각각 통하여, 버퍼실(433) 내에 삽입되어 있다.
전극 보호관(451) 및 전극 보호관(452)은, 막대 형상 전극(471) 및 막대 형상 전극(472)을 각각 버퍼실(423)의 분위기와 격리한 상태에서 버퍼실(423) 내에 삽입할 수 있는 구조로 되어 있다. 전극 보호관(461) 및 전극 보호관(462)은, 막대 형상 전극(481) 및 막대 형상 전극(482)을 각각 버퍼실(433)의 분위기와 격리한 상태에서 버퍼실(433) 내에 삽입할 수 있는 구조로 되어 있다. 전극 보호관(451, 452, 461, 462)의 내부가 외기(대기)와 동일 분위기이면, 전극 보호관(451, 452, 461, 462)에 각각 삽입된 막대 형상 전극(471, 472, 481, 482)은 히터(207)에 의한 열로 산화되게 된다. 따라서, 전극 보호관(451, 452, 461, 462)의 내부에는 질소 등의 불활성 가스를 충전 혹은 퍼지하여, 산소 농도를 충분 낮게 억제하여 막대 형상 전극(471, 472, 481, 482)의 산화를 방지하기 위한 불활성 가스 퍼지 기구(도시 생략)가 설치되어 있다.
또한, 본 실시 형태에 의해 발생한 플라즈마를 리모트 플라즈마라고 부른다. 리모트 플라즈마란 전극 간에서 생성한 플라즈마를 가스의 흐름 등에 의해 피처리물 표면으로 수송하여 플라즈마 처리를 행하는 것이다. 본 실시 형태에서는, 버퍼실(423) 내에 2개의 막대 형상 전극(471 및 472)이 수용되고, 버퍼실(433) 내에 2개의 막대 형상 전극(481 및 482)이 수용되어 있기 때문에, 웨이퍼(200)에 데미지를 주는 이온이 버퍼실(423, 433) 밖의 처리실(201) 내로 누설되기 어려운 구조로 되어 있다. 또한, 2개의 막대 형상 전극(471 및 472)을 둘러싸도록(즉, 2개의 막대 형상 전극(471 및 472)이 각각 수용되는 전극 보호관(451 및 452)을 둘러싸도록) 전계가 발생하고, 플라즈마가 생성되고, 2개의 막대 형상 전극(481 및 482)을 둘러싸도록(즉, 2개의 막대 형상 전극(481 및 482)이 각각 수용되는 전극 보호관(461 및 462)을 둘러싸도록) 전계가 발생하고, 플라즈마가 생성된다. 플라즈마에 포함되는 활성종은, 버퍼실(423)의 가스 공급 구멍(425) 및 버퍼실(433)의 가스 공급 구멍(435)을 통하여 웨이퍼(200)의 외주로부터 웨이퍼(200)의 중심 방향으로 공급된다. 또한, 본 실시 형태와 같이 웨이퍼(200)를 복수매, 주면을 수평면에 평행하게 하여 스택 형상으로 쌓아 올리는 종형의 배치 장치이면, 반응관(203)의 내벽면, 즉 처리해야 할 웨이퍼(200)에 가까운 위치에 버퍼실(423, 433)이 배치되어 있는 결과, 발생한 활성종이 실활되지 않고 웨이퍼(200)의 표면에 도달하기 쉽다고 하는 효과가 있다.
도 2, 3을 참조하면, 반응관의 하부에 배기구(230)가 설치되어 있다. 배기구(230)는 배기관(231)에 접속되어 있다. 노즐(410)의 가스 공급 구멍(411)과 배기구(230)는, 웨이퍼(200)를 사이에 두고 대향하는 위치(180도 반대측)에 설치되어 있다. 이와 같이 하면, 가스 공급 구멍(411)으로부터 공급되는 원료 가스가, 웨이퍼(200)의 주면 상을 배기관(231)의 방향을 향하여 가로지르도록 흘러, 웨이퍼(200)의 전체면에 보다 균일하게 원료 가스가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
본 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원을 구비하고 있다. 플라즈마원이 하나인 경우에는, 단위 체적당의 투입 고주파 전력 밀도는 커서, 파티클이 많이 발생하지만, 본 실시 형태에서는, 제1 플라즈마원 및 제2 플라즈마원이라고 하는 2개의 플라즈마원을 설치하고 있으므로, 플라즈마원이 1개인 경우에 비해, 각 플라즈마원에 공급하는 고주파 전력을 작게(절반으로) 할 수 있어, 발생하는 파티클의 수를 감소시킬 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 할 수 있으므로, 웨이퍼(200)나 웨이퍼(200)에 형성하는 막에 주는 데미지를 작게 할 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 해도, 2개의 플라즈마원을 설치하고 있으므로, 기판 처리에 충분한 양의 플라즈마를 발생시킬 수 있어, 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있으므로, 양(兩) 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 배기구(230)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선상에 설치되어 있으므로, 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다. 또한, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선상에 더 설치되어 있으므로, 웨이퍼(200)의 전체면에 보다 균일하게 원료 가스가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 노즐(410)의 가스 공급 구멍(411)과, 버퍼실(423)의 가스 공급 구멍(425)과의 거리와, 노즐(410)의 가스 공급 구멍(411)과, 버퍼실(433)의 가스 공급 구멍(435)과의 거리가 동일하게 되도록 가스 공급 구멍(411), 가스 공급 구멍(425), 가스 공급 구멍(435)이 배치되어 있으므로, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
다시, 도 2, 3을 참조하면, 반응관의 하부의 배기구(230)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 접속되어 있다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(243)를 통하여 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있어, 처리실(201) 내의 압력이 소정의 압력(진공도)으로 되도록 진공 배기할 수 있도록 구성되어 있다. 진공 펌프(246)의 하류측의 배기관(232)은 폐가스 처리 장치(도시 생략) 등에 접속되어 있다. 또한, APC 밸브(243)는, 밸브를 개폐하여 처리실(201) 내의 진공 배기ㆍ진공 배기 정지를 할 수 있고, 또한 밸브 개방도를 조절하여 컨덕턴스를 조정하여 처리실(201) 내의 압력 조정을 할 수 있도록 되어 있는 개폐 밸브이다. 주로, 배기관(231), APC 밸브(243), 진공 펌프(246), 압력 센서(245)에 의해 배기계가 구성된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 공급 전력을 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 되도록 구성되어 있다. 온도 센서(263)는, L자형으로 구성되어 있고, 매니폴드(209)를 관통하여 도입되어, 반응관(203)의 내벽을 따라서 설치되어 있다.
반응관(203) 내의 중앙부에는 보트(217)가 설치되어 있다. 보트(217)는, 보트 엘리베이터(115)에 의해 반응관(203)에 대하여 승강(출입)할 수 있도록 되어 있다. 보트(217)가 반응관(203) 내에 도입되면, 반응관(203)의 하단부가 O링(220)을 통하여 시일 캡(219)으로 기밀하게 시일된다. 보트(217)는 보트 지지대(218)에 지지되어 있다. 처리의 균일성을 향상하기 위해서, 보트 회전 기구(267)를 구동하여, 보트 지지대(218)에 지지된 보트(217)를 회전시킨다.
도 4를 참조하면, 컨트롤러(280)는, 조작 메뉴 등을 표시하는 디스플레이(288)와, 복수의 키를 포함하여 구성되며, 각종 정보나 조작 지시가 입력되는 조작 입력부(290)를 구비하고 있다. 또한, 컨트롤러(280)는, 기판 처리 장치(101) 전체의 동작을 담당하는 CPU(281)와, 제어 프로그램을 포함하는 각종 프로그램 등이 미리 기억된 ROM(282)과, 각종 데이터를 일시적으로 기억하는 RAM(283)과, 각종 데이터를 기억하여 유지하는 HDD(284)와, 디스플레이(288)에의 각종 정보의 표시를 제어함과 함께 디스플레이(288)로부터의 조작 정보를 접수하는 디스플레이 드라이버(287)와, 조작 입력부(290)에 대한 조작 상태를 검출하는 조작 입력 검출부(289)와, 후술하는 온도 제어부(291), 후술하는 압력 제어부(294), 진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 매스 플로우 컨트롤러(312, 322, 332, 512, 522, 532), 후술하는 밸브 제어부(299), 카세트 스테이지(114), 카세트 반송 장치(118), 웨이퍼 이동 탑재 장치(125) 등의 각 부재와 각종 정보의 송수신을 행하는 통신 인터페이스(I/F)부(285)를 구비하고 있다.
CPU(281), ROM(282), RAM(283), HDD(284), 디스플레이 드라이버(287), 조작 입력 검출부(289) 및 통신 I/F부(285)는, 시스템 버스 BUS(286)를 통하여 서로 접속되어 있다. 따라서, CPU(281)는, ROM(282), RAM(283), HDD(284)에의 액세스를 행할 수 있음과 함께, 디스플레이 드라이버(287)를 통한 디스플레이(288)에의 각종 정보의 표시의 제어 및 디스플레이(288)로부터의 조작 정보의 파악, 통신 I/F부(285)를 통한 각 부재와의 각종 정보의 송수신의 제어를 행할 수 있다. 또한, CPU(281)는, 조작 입력 검출부(289)를 통하여 조작 입력부(290)에 대한 유저의 조작 상태를 파악할 수 있다.
온도 제어부(291)는, 히터(207)와, 히터(207)에 전력을 공급하는 가열용 전원(250)과, 온도 센서(263)와, 컨트롤러(280)와의 사이에서 설정 온도 정보 등의 각종 정보를 송수신하는 통신 I/F부(293)와, 수신한 설정 온도 정보와 온도 센서(263)로부터의 온도 정보 등에 기초하여 가열용 전원(250)으로부터 히터(207)에의 공급 전력을 제어하는 히터 제어부(292)를 구비하고 있다. 히터 제어부(292)도 컴퓨터에 의해 실현되어 있다. 온도 제어부(291)의 통신 I/F부(293)와 컨트롤러(280)의 통신 I/F부(285)는 케이블(751)로 접속되어 있다.
압력 제어부(294)는, APC 밸브(243)와, 압력 센서(245)와, 컨트롤러(280)와의 사이에서 설정 압력 정보, APC 밸브(243)의 개폐 정보 등의 각종 정보를 송수신하는 통신 I/F부(296)와, 수신한 설정 압력 정보, APC 밸브(243)의 개폐 정보 등과 압력 센서(245)로부터의 압력 정보 등에 기초하여 APC 밸브(243)의 개폐나 개방도를 제어하는 APC 밸브 제어부(295)를 구비하고 있다. APC 밸브 제어부(295)도 컴퓨터에 의해 실현되어 있다. 압력 제어부(294)의 통신 I/F부(296)와 컨트롤러(280)의 통신 I/F부(285)는 케이블(752)로 접속되어 있다.
진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 매스 플로우 컨트롤러(312, 322, 332, 512, 522, 532), 고주파 전원(270), 카세트 스테이지(114), 카세트 반송 장치(118), 웨이퍼 이동 탑재 장치(125)와 컨트롤러(280)의 통신 I/F부(285)는, 각각 케이블(753, 754, 755, 756, 757, 758, 759, 760, 761, 762, 781, 782, 783)로 접속되어 있다.
밸브 제어부(299)는, 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)와, 에어 밸브인 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)에의 에어의 공급을 제어하는 전자기 밸브군(298)을 구비하고 있다. 전자기 밸브 군(298)은, 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)에 각각 대응하는 전자기 밸브(297)를 구비하고 있다. 전자기 밸브군(298)과 컨트롤러(280)의 통신 I/F부(285)는 케이블(763)로 접속되어 있다.
이상과 같이 하여, 매스 플로우 컨트롤러(312, 322, 332, 512, 522, 532), 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632), APC 밸브(243), 가열용 전원(250), 온도 센서(263), 압력 센서(245), 진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 고주파 전원(270) 등의 각 부재는 컨트롤러(280)에 접속되어 있다. 컨트롤러(280)는, 카세트 스테이지(114)에 의한 카세트(110)의 자세 제어, 카세트 반송 장치(118)에 의한 카세트(110)의 반송 동작 제어, 웨이퍼 이동 탑재 장치(125)에 의한 웨이퍼(200)의 이동 탑재 동작 제어, 매스 플로우 컨트롤러(312, 322, 332, 512, 522, 532)의 유량 제어, 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)의 개폐 동작 제어, APC 밸브(243)의 개폐 제어 및 압력 센서(245)로부터의 압력 정보에 기초하는 개방도 조정 동작을 통한 압력 제어, 온도 센서(263)로부터의 온도 정보에 기초하는 가열용 전원(250)으로부터 히터(207)로의 전력 공급량 조정 동작을 통한 온도 제어, 고주파 전원(270)으로부터 공급되는 고주파 전력의 제어, 진공 펌프(246)의 기동ㆍ정지 제어, 보트 회전 기구(267)의 회전 속도 조절 제어, 보트 엘리베이터(115)의 승강 동작 제어 등을 각각 행하도록 되어 있다.
다음으로, 전술한 기판 처리 장치를 이용하여 대규모 집적 회로(LSI:Large Scale Integration)를 제조하는 반도체 장치(디바이스)의 제조 공정의 일례에 대하여 설명한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(280)에 의해 제어된다.
LSI는, 실리콘 웨이퍼 상에 처리를 실시하는 웨이퍼 프로세스를 행한 후, 조립 공정, 시험 공정, 신뢰성 시험 공정을 거쳐 제조된다. 웨이퍼 프로세스는, 실리콘 웨이퍼에 산화, 확산 등의 가공을 실시하는 기판 공정과, 그 표면에 배선을 형성하는 배선 공정으로 구분되고, 배선 공정에서는, 리소그래피 공정을 중심으로 세정, 열처리, 막 형성 등이 반복하여 행해진다. 리소그래피 공정에서는, 레지스트 패턴을 형성하고, 그 패턴을 마스크로 하여 에칭을 행함으로써 그 패턴의 하층을 가공한다.
다음으로, 기판 처리 장치(101)를 사용하여, 기판 공정이나 배선 공정에서 웨이퍼(200)의 표면에 형성되는 금속막인 GST(GeSbTe)막 상에 아몰퍼스 실리콘 질화막을 형성하는 예에 대하여 설명한다.
CVD법이나 ALD법에서는, 예를 들면, CVD법의 경우, 형성하는 막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스 등을 동시에 공급하고, 또한, ALD법의 경우, 형성하는 막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스 등을 교대로 공급한다. 그리고, 공급 시의 공급 유량, 공급 시간, 플라즈마 파워 등의 처리 조건을 제어함으로써 산화 실리콘막(SiO막)이나 질화 실리콘막(SiN막)을 형성한다. 그들 기술에서는, 예를 들면 SiO막을 형성하는 경우, 막의 조성비가 화학 양론 조성인 O/Si≒2로 되도록, 또한 예를 들면 SiN막을 형성하는 경우, 막의 조성비가 화학 양론 조성인 N/Si≒1.33으로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다.
한편, ALD와는 달리, 형성하는 막의 조성비가 화학 양론 조성과는 상이한 소정의 조성비로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어하는 것도 가능하다. 즉, 형성하는 막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다도 화학 양론 조성에 대하여 과잉으로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다. 이와 같이 형성하는 막을 구성하는 복수의 원소의 비율, 즉, 막의 조성비를 제어하면서 성막을 행하는 것도 가능하다. 이하에서는, ALD법에 의해, 상이한 종류의 원소를 포함하는 복수 종류의 가스를 교대로 공급하여 화학 양론 조성을 갖는 산화 실리콘막을 형성하는 시퀀스예에 대하여 설명한다.
여기서는 제1 원소를 실리콘(Si), 제2 원소를 질소(N)로 하고, 제1 원소를 포함하는 원료로서 실리콘 함유 원료인 DCS(디클로로실란)를, 제2 원소를 포함하는 반응 가스로서 질소 함유 가스인 NH3(암모니아)를 이용하여, 웨이퍼(200) 상에 형성된 금속막인 GST(GeSbTe)막 상에 아몰퍼스 실리콘 질화막을 형성하는 예에 대하여 도 5, 도 6을 참조하여 설명한다. 도 5는 아몰퍼스 실리콘 질화막의 제조 프로세스를 설명하기 위한 플로우차트이다. 도 6은 아몰퍼스 실리콘 질화막의 제조 프로세스를 설명하기 위한 타이밍차트이다.
우선, 히터(207)에 전력을 공급하는 가열용 전원(250)을 제어하여 처리실(201) 내를, DCS의 자기 분석 온도인 400℃ 이하, 보다 바람직하게는 350℃ 이하의 온도로서 예를 들면 300℃로 되는 온도로 유지해 둔다.
그 후, GST막이 형성된 복수매(100매)의 웨이퍼(200)를 보트(217)에 장전(웨이퍼 차지)한다(스텝 S201). 또한, 웨이퍼(200)는, 직경 300㎜의 것을 사용하였다.
그 후, 진공 펌프(246)를 기동한다. 또한, 노구 셔터(147)(도 1 참조)를 연다. 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다(스텝 S202). 이 상태에서, 시일 캡(219)은 O링(220)을 통하여 반응관(203)의 하단을 시일한 상태로 된다. 그 후, 보트(217)를 보트 구동 기구(267)에 의해 회전시켜, 웨이퍼(200)를 회전시킨다.
그 후, APC 밸브(243)를 열어 진공 펌프(246)에 의해 처리실(201) 내가 원하는 압력(진공도)으로 되도록 진공화하고, 웨이퍼(200)의 온도가 300℃에 도달하여 온도 등이 안정되면(스텝 S203), 처리실(201) 내의 온도를 300℃로 유지한 상태에서 다음의 스텝을 순차적으로 실행한다.
이때, 처리실(201) 내의 압력은, 압력 센서(245)에 의해 측정되고, 이 측정된 압력에 기초하여 APC 밸브(244)의 개방도가 피드백 제어된다(압력 조정). 또한, 처리실(201) 내가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 가열용 전원(250)으로부터 히터(207)로의 전력 공급 상태가 피드백 제어된다( 온도 조정).
(전처리)
다음으로, 전처리로서, 플라즈마로 활성화되어 있지 않은 N2를 공급하고, 그 후, 잔류 가스를 제거한다.
(플라즈마로 활성화되어 있지 않은 N2 공급:스텝 S211)
스텝 S204에서는, N2를 캐리어 가스 공급관(501, 502, 503)으로부터 공급한다. 밸브(313)를 닫고, 밸브(513)를 열어, N2를 캐리어 가스 공급관(510)으로부터 공급한다. N2의 유량은 매스 플로우 컨트롤러(512)에 의해 조정한다. 밸브(323)를 닫고, 밸브(523)를 열어, N2를 캐리어 가스 공급관(520)으로부터 공급한다. N2의 유량은 매스 플로우 컨트롤러(522)에 의해 조정한다. 밸브(333)를 닫고, 밸브(533)를 열어, N2를 캐리어 가스 공급관(530)으로부터 공급한다. N2의 유량은 매스 플로우 컨트롤러(532)에 의해 조정한다. 또한, 막대 형상 전극(471) 및 막대 형상 전극(472) 간, 및 막대 형상 전극(481) 및 막대 형상 전극(482) 간에는, 고주파 전원(270)으로부터 고주파 전력은 인가되지 않으므로, 플라즈마로 활성화되어 있지 않은 상태로 N2는 공급된다.
(잔류 가스 제거:스텝 S213)
스텝 S213에서는, 잔류 N2를 처리실(201) 내로부터 제거한다. 캐리어 가스 공급관(510)의 밸브(513)를 닫고, 캐리어 가스 공급관(520)의 밸브(523)를 닫고, 캐리어 가스 공급관(530)의 밸브(533)를 닫아, 처리실(201)로의 N2의 공급을 정지한다. 이때 배기관(231)의 APC 밸브(243)를 완전 개방으로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 20㎩ 이하로 될 때까지 배기하여, 처리실(201) 내에 잔류하는 잔류 N2를 처리실(201) 내로부터 배제한다.
(아몰퍼스 실리콘 질화막 형성)
다음으로, DCS 가스와 NH3 가스를 처리실(201) 내에 공급함으로써 아몰퍼스 실리콘 질화막을 성막하는 실리콘 질화막 형성 공정을 행한다. 실리콘 질화막 형성 공정에서는 다음의 4개의 스텝(S231∼S237)을 순차적으로 반복하여 실행한다. 본 실시 형태에서는, ALD법을 이용하여 실리콘 질화막을 형성한다.
(DCS 공급:스텝 S231)
스텝 S231에서는, 가스 공급계(301)의 가스 공급관(310), 노즐(410)로부터 DCS를 처리실(201) 내에 공급한다.
밸브(313)를 닫고, 밸브(314)를 연 상태에서, DCS를 매스 플로우 컨트롤러(312)에 의해 유량 조정하여 가스 저장소(315)에 공급하여, 가스 저장소(315)에 저장한다. 소정의 양이 가스 저장소(315)에 저장되면, 밸브(314)를 닫아, 가스 저장소(315)에 DCS를 가둔다. 가스 저장소(315) 내에는, 압력이 처리실(201) 내의 압력의 10배 이상으로서 예를 들면 13000㎩ 이상으로 되도록 DCS를 저장한다. 또한, 가스 저장소(315)와 처리실(201) 사이의 컨덕턴스가 1.5×10-3㎥/s 이상으로 되도록 장치를 구성한다. 또한, 처리실(201)의 용적과 이것에 대한 필요한 가스 저장소(315)의 용적의 비로서 생각하면, 처리실(201)의 용적이 100l의 경우에서는, 가스 저장소(315)의 용적은 100∼300cc인 것이 바람직하고, 용적비로서는 가스 저장소(315)의 용적은 처리실(201)의 용적의 1/1000∼3/1000배로 하는 것이 바람직하다. 본 실시 형태에서는, 가스 저장소(315)의 용적은 180cc이다. 또한, 이 DCS를 가스 저장소(315)에 저장하는 공정은, 처음에는, 전술한 잔류 가스 제거 공정(스텝 S213) 중에 행할 수 있고, 2사이클째 이후는, 후술하는 NH3 공급 공정(스텝 S235) 중에 행할 수 있다.
전술한 잔류 가스 제거 공정(스텝 S213)이 종료되면, APC 밸브(243)를 닫아, 처리실(201)의 배기를 멈춘다. 그 후, 가스 저장소(315)의 하류측의 밸브(313)를 연다. 이에 의해 가스 저장소(315)에 저장된 DCS가 처리실(201) 내에 단숨에 공급된다. 이때 배기관(231)의 APC 밸브(243)가 닫혀져 있으므로, 처리실(201) 내의 압력은 급격하게 상승하여 약 400∼500㎩까지 승압된다. DCS를 공급하기 위한 시간은 2∼4초 설정하고, 그 후 상승한 압력 분위기 속에 노출하는 시간을 2∼4초로 설정하여, 합계 6초로 하였다. 또한, 히터(207)에 전력을 공급하는 가열용 전원(250)을 제어하여 처리실(201) 내를 300℃로 유지해 둔다. 처리실(201)로의 DCS의 공급이 종료되면, 밸브(313)를 닫고, 밸브(314)를 열어 가스 저장소(315)로의 DCS의 공급을 개시한다.
이때, 처리실(201) 내에 흘리고 있는 가스는, DCS뿐이며, NH3는 존재하지 않는다. 따라서, DCS는 기상 반응을 일으키지 않고, 웨이퍼(200) 상의 GST막의 표면과 표면 반응(화학 흡착)하여, 원료(DCS)의 흡착층(이하, Si 함유층)을 형성한다. DCS의 화학 흡착층이란, DCS 분자의 연속적인 흡착층 외에, 불연속한 화학 흡착층도 포함한다.
동시에, 가스 공급관(320)의 도중에 연결되어 있는 캐리어 가스 공급관(520)으로부터, 밸브(523)를 열어 N2(불활성 가스)를 흘리면, NH3측의 노즐(420), 버퍼실(423)이나 가스 공급관(320)으로 DCS가 감돌아 들어가는 것을 방지할 수 있다. 마찬가지로, 동시에 가스 공급관(330)의 도중에 연결되어 있는 캐리어 가스 공급관(530)으로부터, 밸브(533)를 열어 N2(불활성 가스)를 흘리면, NH3측의 노즐(430), 버퍼실(433)이나 가스 공급관(330)으로 DCS가 감돌아 들어가는 것을 방지할 수 있다. 또한, DCS가 감돌아 들어가는 것을 방지하기 위해서이므로, 매스 플로우 컨트롤러(522, 532)에 의해 제어하는 N2(불활성 가스)의 유량은 적어도 된다.
ALD 장치에서는, 가스는 기초막 표면에 흡착한다. 이 가스의 흡착량은, 가스의 압력 및 가스의 폭로 시간에 비례한다. 따라서, 희망하는 일정량의 가스를, 단시간에 흡착시키기 위해서는, 가스의 압력을 단시간에 크게 할 필요가 있다. 이 점에서, 본 실시 형태에서는, APC 밸브(243)를 닫은 후에, 가스 저장소(315) 내에 저장한 DCS를 순간적으로 공급하고 있으므로, 처리실(201)의 DCS의 압력을 급격하게 올릴 수 있어, 희망하는 일정량의 가스를 순간적으로 흡착시킬 수 있다.
(잔류 가스 제거:스텝 S233)
스텝 S233에서는, 잔류 DCS 등의 잔류 가스를 처리실(201) 내로부터 제거한다. 가스 공급관(310)의 밸브(313)를 닫아 처리실(201)로의 DCS의 공급을 정지한다. 이때 배기관(231)의 APC 밸브(243)를 완전 개방으로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 20㎩ 이하로 될 때까지 배기하여, 처리실(201) 내에 잔류하는 잔류 DCS 등의 잔류 가스를 처리실(201) 내로부터 배제한다. 이때 N2 등의 불활성 가스를, 가스 공급관(320, 330)으로부터, 처리실(201) 내로 공급하면, 잔류 DCS 등의 잔류 가스를 배제하는 효과가 더욱 높아진다. 잔류 가스 제거 공정(스텝 S233)은 9초이다.
(플라즈마로 활성화한 NH3 공급:스텝 S235)
스텝 S235에서는, NH3을 가스 공급계(302)의 가스 공급관(320)으로부터 노즐(420)의 가스 공급 구멍(421)을 통하여 버퍼실(423) 내에 공급하고, NH3을 가스 공급계(303)의 가스 공급관(330)으로부터 노즐(430)의 가스 공급 구멍(431)을 통하여 버퍼실(433) 내에 공급한다. 이때, 막대 형상 전극(471) 및 막대 형상 전극(472) 사이에 고주파 전원(270)으로부터 정합기(271)를 통하여 고주파 전력을 인가함으로써, 버퍼실(423) 내에 공급된 NH3 가스는 플라즈마로 여기되고, 활성종으로서 가스 공급 구멍(425)으로부터 처리실(201) 내에 공급되면서 가스 배기관(231)으로부터 배기된다. 또한, 막대 형상 전극(481) 및 막대 형상 전극(482) 사이에 고주파 전원(270)으로부터 정합기(271)를 통하여 고주파 전력을 인가함으로써, 버퍼실(433) 내에 공급된 NH3 가스는 플라즈마로 여기되고, 활성종으로서 가스 공급 구멍(435)으로부터 처리실(201) 내에 공급되면서 가스 배기관(231)으로부터 배기된다.
NH3은 매스 플로우 컨트롤러(322)에 의해 유량 조정되어 가스 공급관(320)으로부터 버퍼실(423) 내에 공급되고, 매스 플로우 컨트롤러(332)에 의해 유량 조정되어 가스 공급관(330)으로부터 버퍼실(433) 내에 공급된다. NH3은, 버퍼실(423)에 공급하기 전에는, 밸브(323)를 닫고, 밸브(622)를 열어, 밸브(622)를 통하여 벤트 라인(620)으로 흘려 두고, 버퍼실(433)에 공급하기 전에는, 밸브(333)를 닫고, 밸브(632)를 열어, 밸브(632)를 통하여 벤트 라인(630)으로 흘려 둔다. 그리고, NH3을 버퍼실(423)에 공급할 때에는, 밸브(622)를 닫고, 밸브(323)를 열어, NH3을 밸브(323)의 하류의 가스 공급관(320)에 공급함과 함께, 밸브(523)를 열어, 캐리어 가스(N2)를 캐리어 가스 공급관(520)으로부터 공급한다. 캐리어 가스(N2)의 유량은 매스 플로우 컨트롤러(522)에 의해 조정한다. NH3은 캐리어 가스(N2)와 밸브(323)의 하류측으로 합류하여 혼합되고, 노즐(420)을 통하여 버퍼실(423)에 공급된다. 또한, NH3을 버퍼실(433)에 공급할 때에는, 밸브(632)를 닫고, 밸브(333)를 열어, NH3을 밸브(333)의 하류의 가스 공급관(330)에 공급함과 함께, 밸브(533)를 열어, 캐리어 가스(N2)를 캐리어 가스 공급관(530)으로부터 공급한다. 캐리어 가스(N2)의 유량은 매스 플로우 컨트롤러(532)에 의해 조정한다. NH3은 캐리어 가스(N2)와 밸브(333)의 하류측으로 합류하여 혼합되고, 노즐(430)을 통하여 버퍼실(433)에 공급된다.
NH3 가스를 플라즈마로 여기함으로써 활성종으로서 흘릴 때에는, APC 밸브(243)를 적정하게 조정하여 처리실(201) 내의 압력을, 예를 들면 40∼100㎩로 한다. 매스 플로우 컨트롤러(322)에 의해 제어하는 NH3 가스의 공급 유량은, 예를 들면 3000sccm으로 한다. 매스 플로우 컨트롤러(332)에 의해 제어하는 NH3 가스의 공급 유량은, 예를 들면 3000sccm으로 한다. NH3 가스를 플라즈마로 여기함으로써 얻어진 활성종에 웨이퍼(200)를 노출시키는 시간, 즉 가스 공급 시간은, 예를 들면 23초로 한다. 또한, 고주파 전원(270)으로부터 막대 형상 전극(471) 및 막대 형상 전극(472) 사이에 인가하는 고주파 전력은, 예를 들면 50W로 되도록 설정하고, 고주파 전원(270)으로부터 막대 형상 전극(481) 및 막대 형상 전극(482) 사이에 인가하는 고주파 전력은, 예를 들면 50W로 되도록 설정한다. 또한, 히터(207)에 전력을 공급하는 가열용 전원(250)을 제어하여 처리실(201) 내를, 300℃로 유지해 둔다.
또한, NH3 가스를 플라즈마로 여기함으로써 활성종으로서 흘릴 때에, 만약, 배기관(231)에 설치한 APC 밸브(243)를 닫아 진공 배기를 멈춘 상태로 하면, NH3 가스를 플라즈마로 여기함으로써 활성화된 활성종이 웨이퍼(200)에 도달하기 전에 실활되어 버리고, 그 결과 웨이퍼(200)의 표면과 반응이 일어나지 않게 된다고 하는 문제가 있으므로, NH3 가스를 플라즈마로 여기함으로써 활성종으로서 흘리는 경우에는, APC 밸브(243)를 열어, 반응로(20)를 배기하고 있다.
이때, 처리실(201) 내에 흘리고 있는 가스는 NH3 가스를 플라즈마로 여기함으로써 얻어진 활성종(NH3 플라즈마)이며, 처리실(201) 내에는 DCS 가스는 흘리지 않고 있다. 따라서, NH3 가스는 기상 반응을 일으키는 일은 없으며, 활성종으로 된, 혹은 활성화된 NH3 가스는, 스텝 S231에서 웨이퍼(200) 상의 GST막 상에 형성된 제1층으로서의 실리콘 함유층과 반응한다. 이에 의해 실리콘 함유층은 질화되어, 실리콘(제1 원소) 및 질소(제2 원소)를 포함하는 제2층, 즉, 실리콘 질화막층으로 개질된다.
동시에, 가스 공급관(310)의 도중에 연결되어 있는 캐리어 가스 공급관(510)으로부터, 밸브(513)를 열어 N2(불활성 가스)를 흘리면, DCS측의 노즐(410)이나 가스 공급관(310)으로 NH3이 감돌아 들어가는 것을 방지할 수 있다. 또한, NH3이 감돌아 들어가는 것을 방지하기 위해서이므로, 매스 플로우 컨트롤러(512)에 의해 제어하는 N2(불활성 가스)의 유량은 적어도 된다.
또한, 이 NH3을 플라즈마로 여기함으로써 활성종으로서 공급하고 있을 때, 가스 저장소(315)의 상류측의 밸브(314)를 열고, 하류측의 밸브(313)를 닫아, DCS를 가스 저장소(315)에 저장한다. 가스 저장소(315)에 소정압, 소정량의 DCS가 저장되면 상류측의 밸브(314)도 닫아, 가스 저장소(315)에 DCS를 가두어 둔다.
(잔류 가스 제거:스텝 S237)
스텝 S237에서는, 미반응 혹은 산화에 기여한 후의 잔류 NH3 등의 잔류 가스를 처리실(201) 내로부터 제거한다. 가스 공급관(320)의 밸브(323)를 닫아 처리실(201)로의 NH3의 공급을 정지하고, 밸브(622)를 열어 벤트 라인(620)에 NH3을 흘리고, 가스 공급관(330)의 밸브(333)를 닫아 처리실(201)로의 NH3의 공급을 정지하고, 밸브(632)를 열어 벤트 라인(630)에 NH3을 흘린다. 이때 배기관(231)의 APC 밸브(243)를 완전 개방으로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 20㎩ 이하로 될 때까지 배기하고, 처리실(201) 내에 잔류하는 잔류 NH3 등의 잔류 가스를 처리실(201) 내로부터 배제한다. 이때 N2 등의 불활성 가스를, NH3 공급 라인인 가스 공급관(320, 330)으로부터, 처리실(201) 내에 공급하면, 잔류 NH3 등의 잔류 가스를 배제하는 효과가 더 높아진다. 잔류 가스 제거 공정(스텝 S237)은 5초이다.
또한, 본 실시 형태에서는, 가스 저장소(315)에 DCS를 저장하고 있는 동안에, ALD법에서 필요한 스텝인 NH3 가스를 플라즈마로 여기함으로써 활성종으로서 공급(스텝 S235), 및 잔류 가스의 제거(스텝 S237)를 하고 있으므로, DCS를 저장하기 위한 특별한 스텝을 필요로 하지 않는다.
상기 스텝 S231∼S237을 1사이클로 하고, 적어도 1회 이상 행함으로써(스텝 S239) 웨이퍼(200) 상의 GST막 상에 ALD법을 이용하여 소정막 두께의 실리콘 질화막을 성막한다. 본 실시 형태에서는, 500사이클을 행하여, 350Å의 실리콘 질화막을 성막하였다.
소정막 두께의 실리콘 질화막을 형성하는 성막 처리가 이루어지면, N2 등의 불활성 가스를 처리실(201) 내에 공급하면서 배기함으로써 처리실(201) 내를 불활성 가스로 퍼지한다(가스 퍼지:스텝 S241). 또한, 가스 퍼지는, 잔류 가스를 제거한 후, APC 밸브(243)를 닫고, 밸브(513, 523, 533)를 열어 행하는 N2 등의 불활성 가스의 처리실(201) 내에의 공급과, 그 후, 밸브(513, 523, 533)를 닫아 N2 등의 불활성 가스의 처리실(201) 내에의 공급을 정지함과 함께, APC 밸브(243)를 열어 행하는 처리실(201) 내의 진공화를 반복하여 행하는 것이 바람직하다.
그 후, 보트 회전 기구(267)를 멈춰, 보트(217)의 회전을 멈춘다. 그 후, 밸브(513, 523, 533)를 열어 처리실(201) 내의 분위기를 N2 등의 불활성 가스로 치환하고(불활성 가스 치환), 처리실(201) 내의 압력을 상압으로 복귀한다(대기압 복귀:스텝 S243). 그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)을 하강하여, 반응관(203)의 하단을 개구함과 함께, 처리 완료 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 처리실(201)의 외부로 반출(보트 언로드:스텝 S245)한다. 그 후, 반응관(203)의 하단을 노구 셔터(147)로 닫는다. 그 후, 진공 펌프(246)를 멈춘다. 그 후, 처리 완료 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지:스텝 S247). 이에 의해 1회의 성막 처리(배치 처리)가 종료된다.
본 실시 형태에서는, 플라즈마 발생 구조(429)와 플라즈마 발생 구조(439)를 설치하고, 고주파 전력을 2개의 플라즈마 발생 구조로 분산하여 50W씩 공급하고 있다. 이에 대하여, 플라즈마 발생 구조가 하나인 경우, 예를 들면, 플라즈마 발생 구조(439)를 설치하지 않고, 플라즈마 발생 구조(429)만을 설치한 경우에는, 플라즈마 발생 구조(429)에 100W 공급하게 된다. 도 7은, 투입한 고주파 전력(W)과, 발생한 파티클수와의 관계를 나타낸 도면이다. 플라즈마 발생 구조를 하나만 설치한 경우에는, 그 하나의 플라즈마 발생 구조에 공급되는 전력은, 투입한 고주파 전력(W)과 동등하게 되지만, 플라즈마 발생 구조를 2개 설치한 경우에는, 각 플라즈마 발생 구조에 공급되는 전력은, 투입한 고주파 전력(W)의 절반으로 된다. 도 7을 참조하면, 플라즈마 발생 구조를 하나만 설치한 경우에 비해, 플라즈마 발생 구조를 2개 설치한 경우에는, 발생하는 파티클의 수가 대폭 감소하고 있는 것을 알 수 있다. 따라서, 플라즈마 발생 구조를 2개 설치함으로써, 발생하는 파티클의 수를 대폭 감소시켜, GST막 상에 형성한 실리콘 질화막의 박리를 억제 또는 방지할 수 있게 된다. 도 8은, 플라즈마 발생 구조가 하나인 경우, 예를 들면, 플라즈마 발생 구조(439)를 설치하지 않고, 플라즈마 발생 구조(429)만을 설치한 경우의, 웨이퍼(200)의 면내의 전형적인 파티클의 분포를 나타내고 있다. 파티클은 웨이퍼의 주변부에 치우쳐 있고, 웨이퍼(200)를 회전시켜 처리하고 있는 것을 고려하면, 웨이퍼(200)의 주변부 근방에 배치된 플라즈마 발생 구조(429) 방향으로부터 발생하고 있는 것을 알 수 있다. 이에 대하여, 플라즈마 발생 구조(429)와 플라즈마 발생 구조(439)를 설치하고, 고주파 전력을 2개의 플라즈마 발생 구조로 분산하여 공급하면, 파티클은 발생하지 않는 방향으로 되었다.
처리 온도가 낮은 경우에는, 성막한 막의 막질 유지의 관점으로부터, 고주파 전력의 투입량을 늘리고자 한다는 시장 요구가 있고, 한편으로는, 도 7에 도시한 바와 같이, 고주파 전력의 투입량을 늘리면, 파티클이 대부분 발생한다고 하는 문제가 있지만, 본 실시 형태와 같이, 플라즈마 발생 구조를 복수개 설치하고, 고주파 전력을 복수의 플라즈마 발생 구조로 분산하여 공급함으로써, 고주파 전력의 단위 체적당의 투입 전력 밀도를 내릴 수 있고, 그 결과, 발생하는 파티클수를 감소시킬 수 있어, 밀착성을 개선시킬 수 있다.
(제2 실시 형태)
다음으로, 도 9, 도 10을 참조하여, 제2 실시 형태를 설명한다.
제1 실시 형태에서는, 전처리로서, 플라즈마로 활성화하고 있지 않은 N2를 공급하고(스텝 S211), 그 후, 잔류 가스를 제거하였지만(스텝 S213), 본 실시 형태에서는, 전처리로서, DCS의 공급(스텝 S221), 잔류 가스 제거(스텝 S223), 플라즈마로 여기하고 있지 않은 NH3 공급(스텝 S225) 및 잔류 가스 제거(스텝 S227)의 각 공정을 적어도 1사이클 행하는 점이 제1 실시 형태와 상이하지만, 다른 점은 동일하다. 사용하는 기판 처리 장치(101)도 동일하고, 실리콘 질화막 형성 공정도 동일하다.
또한, DCS의 공급(스텝 S221)은, 제1 실시 형태의 DCS의 공급(스텝 S231)과 동일하고, 잔류 가스 제거(스텝 S223)는, 제1 실시 형태의 잔류 가스 제거(스텝 S233)와 동일하고, 잔류 가스 제거(스텝 S227)는, 제1 실시 형태의 잔류 가스 제거(스텝 S237)와 동일하다. 또한, 제1 실시 형태의 플라즈마로 여기한 NH3 공급(스텝 S235)에서는, 막대 형상 전극(471) 및 막대 형상 전극(472) 사이에 고주파 전원(270)으로부터 고주파 전력을 인가하고, 막대 형상 전극(481) 및 막대 형상 전극(482) 사이에 고주파 전원(270)으로부터 고주파 전력을 인가하였지만, 본 실시 형태의 플라즈마로 여기하고 있지 않은 NH3 공급(스텝 S225)은, 막대 형상 전극(471) 및 막대 형상 전극(472) 사이와 막대 형상 전극(481) 및 막대 형상 전극(482) 사이에 고주파 전원(270)으로부터 고주파 전력을 인가하지 않는 점이, 제1 실시 형태의 플라즈마로 여기한 NH3 공급(스텝 S235)과 상이하지만, 다른 점은 동일하다.
(제3 실시 형태)
다음으로, 도 11을 참조하여, 제3 실시 형태를 설명한다.
제1 실시 형태에서는, 전처리로서, 플라즈마로 활성화하고 있지 않은 N2를 공급하고(스텝 S211), 그 후, 잔류 가스를 제거하였지만(스텝 S213), 본 실시 형태에서는, 전처리로서, 플라즈마로 활성화하고 있지 않은 DCS를 공급하고(스텝 S215), 그 후, 잔류 가스를 제거하는(스텝 S217) 점이, 제1 실시 형태와 상이하지만, 다른 점은 동일하다. 사용하는 기판 처리 장치(101)도 동일하고, 실리콘 질화막 형성 공정도 동일하다.
다음으로, 도 12를 참조하여, 제1∼제3 실시 형태의 일 변형예를 설명한다.
상기 제1∼제3 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있고, 배기구(230)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 설치되어 있고, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 형성되어 있고, 제1 플라즈마 발생 구조(429)와 제2 플라즈마 발생 구조(439)는 배기구(230) 근방에 설치되어 있지만, 본 변형예에서는, 제1 플라즈마 발생 구조(429)와 제2 플라즈마 발생 구조(439)는 웨이퍼(200)를 사이에 두고 대향하는 위치(180도 반대측)에 설치되고, 웨이퍼(200)의 중심 및 반응관(203)의 중심에 대하여 점대칭으로 설치되고, 또한 노즐(410)은 배기구(230)와 제2 플라즈마 발생 구조(439)와의 사이에 설치되어 있는 점이 상기 제1 실시 형태와 상이하지만, 다른 점은 동일하다.
본 변형예에서도, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원을 구비하고 있으므로, 플라즈마원이 1개인 경우에 비해, 고주파 전력을 복수의 플라즈마원에 분산하여 공급함으로써, 고주파 전력의 단위 체적당의 투입 전력 밀도를 내릴 수 있고, 그 결과, 발생하는 파티클수를 감소시킬 수 있어, 밀착성을 개선시킬 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 할 수 있으므로, 웨이퍼(200)나 웨이퍼(200)에 형성하는 막에 주는 데미지를 작게 할 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 해도, 2개의 플라즈마원을 설치하고 있으므로, 기판 처리에 충분한 양의 플라즈마를 발생시킬 수 있어, 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는, 웨이퍼(200)를 사이에 두고 대향하는 위치(180도 반대측)에 설치되고, 웨이퍼(200)의 중심 및 반응관(203)의 중심에 대하여 점대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
다음으로, 도 13을 참조하여, 제1∼제3 실시 형태의 다른 변형예를 설명한다.
상기 제1 실시 형태에서는, 노즐(410)의 가스 공급 구멍(411)은, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있고, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200) 중심(반응관(203)의 중심)을 지나는 선 상에 형성되어 있지만, 본 변형예에서는, 제1 플라즈마 발생 구조(429)와, 제2 플라즈마 발생 구조(439)는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있지만, 노즐(410)의 가스 공급 구멍(411)은 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 형성되어 있지 않은 점이 상기 제1∼제3 실시 형태와 상이하지만, 다른 점은 동일하다.
본 변형예에서도, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원을 구비하고 있으므로, 플라즈마원이 1개인 경우에 비해, 고주파 전력을 복수의 플라즈마원에 분산하여 공급함으로써, 고주파 전력의 단위 체적당의 투입 전력 밀도를 내릴 수 있고, 그 결과, 발생하는 파티클수를 감소시킬 수 있어, 밀착성을 개선시킬 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 할 수 있으므로, 웨이퍼(200)나 웨이퍼(200)에 형성하는 막에 주는 데미지를 작게 할 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 해도, 2개의 플라즈마원을 설치하고 있으므로, 기판 처리에 충분한 양의 플라즈마를 발생시킬 수 있어, 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
다음으로, 도 14를 참조하여, 본 실시 형태의 또 다른 변형예를 설명한다.
본 변형예에서는, 상기 도 13에 도시한 다른 변형예에 대하여, 주로, 막대 형상 전극(481'), 막대 형상 전극(482'), 전극 보호관(461'), 전극 보호관(462'), 버퍼실(433') 및 가스 공급 구멍(435')에 의해 구성되고, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)와 동일한 구조의, 제3 플라즈마 발생 구조(439')를 추가하고, 이 제3 플라즈마 발생 구조(439')를, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 웨이퍼(200)의 중심 및 반응관(203)의 중심에 대하여 점대칭으로 설치하고 있다.
본 변형예에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원에, 또한 주로, 막대 형상 전극(481'), 막대 형상 전극(482'), 전극 보호관(461'), 전극 보호관(462'), 정합기(271), 고주파 전원(270)에 의해 구성되는 제3 플라즈마원이 추가되어 있으므로, 플라즈마원이 2개인 경우에 비해, 고주파 전력을 복수의 플라즈마원에 더 분산하여 공급할 수 있어, 고주파 전력의 단위 체적당의 투입 전력 밀도를 더 내릴 수 있고, 그 결과, 발생하는 파티클수를 더 감소시킬 수 있어, 밀착성을 더 개선시킬 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 보다 작게 할 수 있으므로, 웨이퍼(200)나 웨이퍼(200)에 형성하는 막에 주는 데미지를 더 작게 할 수 있다. 또한, 플라즈마원에 공급하는 고주파 전력을 작게 해도, 3개의 플라즈마원을 설치하고 있으므로, 기판 처리에 충분한 양의 플라즈마를 발생시킬 수 있어, 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
(제4 실시 형태)
도 15를 참조하면, 제2 실시 형태에서는, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 플라즈마 발생 구조(429)와, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 플라즈마 발생 구조(439)를 구비하고, 가스 공급계는, 가스 공급관(310)을 구비하는 가스 공급계(301), 가스 공급관(320)을 구비하는 가스 공급계(302) 및 가스 공급관(330)을 구비하는 가스 공급계(303)를 구비하고 있었던 것에 대해서, 본 실시 형태에서는 플라즈마 발생 구조(439)를 구비하지 않고, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 플라즈마 발생 구조(429)만을 구비하고, 가스 공급계(303)를 구비하지 않고, 가스 공급관(310)을 구비하는 가스 공급계(301), 가스 공급관(320)을 구비하는 가스 공급계(302)만을 구비하고 있는 점이 제2 실시 형태와 상이하지만, 다른 점은 동일하다.
상술한 제2 실시 형태 및 본 실시 형태(제4 실시 형태)에서는, 전처리로서, DCS의 공급(스텝 S221), 잔류 가스 제거(스텝 S223), 플라즈마로 여기하고 있지 않은 NH3 공급(스텝 S225) 및 잔류 가스 제거(스텝 S227)의 각 공정을 적어도 1사이클 행함으로써, 발생하는 파티클수를 감소시킬 수 있어, 밀착성을 개선시킬 수 있다. 제2 실시 형태와 같이, 플라즈마 발생 구조를 2개 구비하는 쪽이, 발생하는 파티클수를 감소시켜, 밀착성을 개선시킬 수 있는 효과는 크지만, 플라즈마 발생 구조를 1개 구비하는 본 실시 형태의 경우에서도, 발생하는 파티클수를 감소시켜, 밀착성을 개선시킬 수 있다.
DCS 및 플라즈마로 활성화하지 않은 NH3을 이용한 전처리의 원리는, 다음과 같이 생각된다. GST 등의 금속막이 노출된 기판에, DCS를 공급하면, 기판 상에 얇은 금속과 Si의 반응 중간체가 형성된다. 단, 그 때에는, DCS에 포함되는 Cl도 동시에 기판에 흡착되어 있다고 생각된다. 거기에 NH3을 공급하면, 기판에 흡착된 Si와 반응하여 실리사이드를 형성하면서, 염화 암모니아가 형성되어 막 내로부터 Cl이 제거된다. 저온에서는, NH3은 플라즈마로 여기되지 않으면 실리콘 질화막을 형성하지 않기 때문에, 플라즈마로 활성화하지 않은 NH3으로 함으로써, 상기한 바와 같은 효과가 얻어진다.
(제5 실시 형태)
도 15를 참조하면, 제3 실시 형태에서는, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 플라즈마 발생 구조(429)와, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 플라즈마 발생 구조(439)를 구비하고, 가스 공급계는, 가스 공급관(310)을 구비하는 가스 공급계(301), 가스 공급관(320)을 구비하는 가스 공급계(302) 및 가스 공급관(330)을 구비하는 가스 공급계(303)를 구비하고 있었던 것에 대해서, 본 실시 형태에서는 플라즈마 발생 구조(439)를 구비하지 않고, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 플라즈마 발생 구조(429)만을 구비하고, 가스 공급계(303)를 구비하지 않고, 가스 공급관(310)을 구비하는 가스 공급계(301), 가스 공급관(320)을 구비하는 가스 공급계(302)만을 구비하고 있는 점이 제2 실시 형태와 상이하지만, 다른 점은 동일하다.
상술한 제3 실시 형태 및 본 실시 형태(제5 실시 형태)에서는, 전처리로서, 플라즈마로 활성화하고 있지 않은 DCS를 공급하고(스텝 S215), 그 후, 잔류 가스를 제거함으로써(스텝 S217), 발생하는 파티클수를 감소시킬 수 있어, 밀착성을 개선시킬 수 있다. 제3 실시 형태와 같이, 플라즈마 발생 구조를 2개 구비하는 쪽이, 발생하는 파티클수를 감소시켜, 밀착성을 개선시킬 수 있는 효과는 크지만, 플라즈마 발생 구조를 1개 구비하는 본 실시 형태의 경우에서도, 발생하는 파티클수를 감소시켜, 밀착성을 개선시킬 수 있다.
(제6 실시 형태)
도 16, 도 17을 참조하여, 본 실시 형태를 설명한다.
상술한 제1∼제3 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는, 반응관(203)의 내측에 설치하였지만, 본 실시 형태에서는 플라즈마 발생 구조를 반응관(203)의 외측으로 돌출하여 설치하는 점이 제1∼제3 실시 형태와 상이하지만, 다른 점은 마찬가지이다.
반응관(203)의 측벽에, 반응관의 하부로부터 상부에 걸치는 상하로 가늘고 긴 사각형의 개구(822)가 형성되고, 개구(822)를 덮어서 반응관(203)의 외벽에 플라즈마 형성실벽(428)이 설치되어 있다. 플라즈마 형성실벽(428)은, 단면 コ자 형상을 이루고 상하로 가늘고 길게 형성되어 있다. 플라즈마 형성실벽(428)은, 예를 들면 석영으로 형성되어 있다. 플라즈마 형성실벽(428) 내에는 플라즈마 형성실(821)이 형성된다. 플라즈마 형성실(821)은 개구(822)를 통하여 반응관(203)의 내부와 연통하고 있다. 개구(822)는 보트(217)에 적층되어 탑재된 복수의 웨이퍼(200)의 최하부보다도 하측으로부터 최하부보다도 상측에 걸쳐서 상하로 가늘고 길게 형성되어 있다.
플라즈마 형성실(821)의 안쪽 부분(반응관(203)의 중심으로부터 가장 멀리 떨어진 부분)에, 노즐(426)이 세워 설치되어 있다. 노즐(426)의 하부의 부분은, 일단 반응관(203) 내부측에 절곡하고, 그 후, 플라즈마 형성실벽(428)의 하측의 반응관(203)의 관벽으로부터 반응관(203)의 외부로 돌출하고, 그 단부는 가스 공급관(320)에 접속되어 있다.
노즐(426)은, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향하여 올라가도록 설치되어 있다. 노즐(426)의 상단은 폐색되어 있다. 노즐(426)의 측면에는, 가스를 공급하는 가스 공급 구멍(427)이, 보트(217)에 적층되어 탑재된 복수의 웨이퍼(200)의 최하부보다도 하측으로부터 최하부보다도 상측에 걸쳐서 웨이퍼(200)의 적재 방향을 따라서 복수 설치되어 있다. 가스 공급 구멍(427)은 반응관(203)의 중심을 향하여 개구하고 있다. 복수의 가스 공급 구멍(427)의 개구 면적은 동일하고, 동일한 피치로 형성되어 있다.
플라즈마 형성실벽(428)의 양 측벽(428a, 428b)의 외면에 상하 방향을 따라서 서로 대향하고, 가늘고 긴 한 쌍의 플라즈마 형성 전극(473, 474)이 설치되어 있다. 플라즈마 형성 전극(473, 474)을 각각 덮어서 전극 커버(475, 476)가 설치되어 있다. 전극 커버(475, 476)의 내부에, 질소 등의 불활성 가스를 충전 혹은 퍼지하고, 산소 농도를 충분히 낮게 억제하여 플라즈마 형성 전극(473, 474)의 산화를 방지하기 위한 불활성 가스 퍼지 기구가 설치되어 있다.
플라즈마 형성 전극(473)은, 정합기(271)를 통하여 고주파 전원(270)에 접속되고, 플라즈마 형성 전극(474)은 기준 전위인 어스(272)에 접속되어 있다. 주로, 플라즈마 형성 전극(473, 474), 플라즈마 형성실벽(428), 플라즈마 형성실(821), 개구(822), 노즐(426) 및 가스 공급 구멍(427)에 의해 제1 플라즈마 발생 구조(820)가 구성된다. 주로, 플라즈마 형성 전극(473, 474), 정합기(271), 고주파 전원(270)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 제1 플라즈마원이 구성된다.
이상과 같이 구성된 결과, 가스가 플라즈마 형성실(821)의 안쪽 부분에 설치된 노즐(426)의 가스 공급 구멍(427)으로부터 플라즈마 형성 전극(473, 474) 사이에 공급되고, 플라즈마 형성 전극(473, 474) 사이의 플라즈마 생성 영역에서 플라즈마가 생성되고, 개구(822)를 통해 반응관(203)의 중심을 향하여 확산시키면서 흐른다.
반응관(203)의 측벽에, 반응관의 하부로부터 상부에 걸치는 상하로 가늘고 긴 사각형의 개구(832)가 형성되고, 개구(832)를 덮어서 반응관(203)의 외벽에 플라즈마 형성실벽(438)이 설치되어 있다. 플라즈마 형성실벽(438)은, 단면 コ자 형상을 이루고 상하로 가늘고 길게 형성되어 있다. 플라즈마 형성실벽(438)은, 예를 들면 석영으로 형성되어 있다. 플라즈마 형성실벽(438) 내에는 플라즈마 형성실(831)이 형성된다. 플라즈마 형성실(831)은 개구(832)를 통하여 반응관(203)의 내부와 연통하고 있다. 개구(832)는 보트(217)에 적층되어 탑재된 복수의 웨이퍼(200)의 최하부보다도 하측으로부터 최하부보다도 상측에 걸쳐서 상하로 가늘고 길게 형성되어 있다.
플라즈마 형성실(831)의 안쪽 부분(반응관(203)의 중심으로부터 가장 멀리 떨어진 부분)에, 노즐(436)이 세워 설치되어 있다. 노즐(436)의 하부의 부분은, 일단 반응관(203) 내부측에 절곡하고, 그 후, 플라즈마 형성실벽(438)의 하측의 반응관(203)의 관벽으로부터 반응관(203)의 외부로 돌출하고, 그 단부는 가스 공급관(330)에 접속되어 있다.
노즐(436)은, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향하여 올라가도록 설치되어 있다. 노즐(436)의 상단은 폐색되어 있다. 노즐(436)의 측면에는, 가스를 공급하는 가스 공급 구멍(437)이, 보트(217)에 적층되어 탑재된 복수의 웨이퍼(200)의 최하부보다도 하측으로부터 최하부보다도 상측에 걸쳐서 웨이퍼(200)의 적재 방향을 따라서 복수 설치되어 있다. 가스 공급 구멍(437)은 반응관(203)의 중심을 향하여 개구하고 있다. 복수의 가스 공급 구멍(437)의 개구 면적은 동일하고, 동일한 피치로 형성되어 있다.
플라즈마 형성실벽(438)의 양 측벽(438a, 438b)의 외면에 상하 방향을 따라서 서로 대향하고, 가늘고 긴 한 쌍의 플라즈마 형성 전극(483, 484)이 설치되어 있다. 플라즈마 형성 전극(483, 484)을 각각 덮어서 전극 커버(485, 486)가 설치되어 있다. 전극 커버(485, 486)의 내부에, 질소 등의 불활성 가스를 충전 혹은 퍼지하고, 산소 농도를 충분히 낮게 억제하여 플라즈마 형성 전극(483, 484)의 산화를 방지하기 위한 불활성 가스 퍼지 기구가 설치되어 있다.
플라즈마 형성 전극(483)은, 정합기(271)를 통하여 고주파 전원(270)에 접속되고, 플라즈마 형성 전극(484)은 기준 전위인 어스(272)에 접속되어 있다. 주로, 플라즈마 형성 전극(483, 484), 플라즈마 형성실벽(438), 플라즈마 형성실(831), 개구(832), 노즐(436) 및 가스 공급 구멍(437)에 의해 제2 플라즈마 발생 구조(830)가 구성된다. 주로, 플라즈마 형성 전극(483, 484), 정합기(271), 고주파 전원(270)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 제2 플라즈마원이 구성된다.
이상과 같이 구성된 결과, 가스가 플라즈마 형성실(831)의 안쪽 부분에 설치된 노즐(436)의 가스 공급 구멍(437)으로부터 플라즈마 형성 전극(483, 484) 사이에 공급되고, 플라즈마 형성 전극(483, 484) 사이의 플라즈마 생성 영역에서 플라즈마가 생성되고, 개구(832)를 통해 반응관(203)의 중심을 향하여 확산시키면서 흐른다.
상기한 바와 같은 구성을 구비한 플라즈마 발생 구조(820, 830)에 의해서도, 리모트 플라즈마가 생성된다. 즉, 플라즈마 발생 구조(820, 830)에서 발생한 래디컬이 처리실(201) 내의 웨이퍼(200)의 전체면에 도달할 때까지 실활되지 않고, 또한 플라즈마 발생 구조(820, 830)에서 발생한 이온이 처리실 내의 웨이퍼(200)에 데미지를 주는 만큼은 도달하지 않는다.
본 실시 형태와 같이, 플라즈마 발생 구조(820, 830)를 반응관(203)의 외부로 돌출하여 설치하면, 제1 실시 형태와 같이, 버퍼실(423, 433)을 반응관(203)의 내부에 설치한 경우와 비교하여, 웨이퍼(200)의 외주와 반응관(203)의 내주면과의 거리를 보다 가깝게 할 수 있다.
본 실시 형태에서는, 주로, 플라즈마 형성 전극(473, 474), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 플라즈마 형성 전극(483, 484), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원을 구비하고 있으므로, 플라즈마원이 1개인 경우에 비해, 각 플라즈마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 주는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 플라즈마 형성 전극(473, 474), 플라즈마 형성실벽(428), 플라즈마 형성실(821), 개구(822), 노즐(426) 및 가스 공급 구멍(427)에 의해 구성되는 제1 플라즈마 발생 구조(820)와, 주로, 플라즈마 형성 전극(483, 484), 플라즈마 형성실벽(438), 플라즈마 형성실(831), 개구(832), 노즐(436) 및 가스 공급 구멍(437)에 의해 구성되는 제2 플라즈마 발생 구조(830)는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 배기구(230)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 형성되어 있으므로, 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다. 또한, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 형성되어 있으므로, 웨이퍼(200)의 전체면에 보다 균일하게 원료 가스가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
본 실시 형태의 구조의 기판 처리 장치에 있어서도, 도 5를 참조하여 설명한, 전처리로서, 플라즈마로 활성화하고 있지 않은 N2를 공급하고(스텝 S211), 그 후, 잔류 가스를 제거하고(스텝 S213), 그 후, 실리콘 질화막을 형성하는(스텝 S231∼S237) 처리 방법이나, 도 9를 참조하여 설명한, 전처리로서, DCS의 공급(스텝 S221), 잔류 가스 제거(스텝 S223), 플라즈마로 여기하고 있지 않은 NH3 공급(스텝 S225) 및 잔류 가스 제거(스텝 S227)의 각 공정을 적어도 1사이클 행하고, 그 후, 실리콘 질화막을 형성하는(스텝 S231∼S237) 처리 방법이나, 도 11을 참조하여 설명한, 전처리로서, 플라즈마로 활성화하고 있지 않은 DCS를 공급하고(스텝 S215), 그 후, 잔류 가스를 제거하고(스텝 S217), 그 후, 실리콘 질화막을 형성하는(스텝 S231∼S237) 처리 방법을 적용할 수 있고, 발생하는 파티클수를 감소시켜, 밀착성을 개선시킬 수 있다.
(제7 실시 형태)
도 18을 참조하면, 제6 실시 형태에서는, 전극(473), 전극(474), 전극 커버(475), 전극 커버(476), 플라즈마 형성실(821) 및 개구(822)에 의해 구성되는 플라즈마 발생 구조(820)와, 전극(483), 전극(484), 전극 커버(485), 전극 커버(486), 플라즈마 형성실(831) 및 개구(832)에 의해 구성되는 플라즈마 발생 구조(830)를 구비하고, 가스 공급계는, 가스 공급관(310)을 구비하는 가스 공급계(301), 가스 공급관(320)을 구비하는 가스 공급계(302) 및 가스 공급관(330)을 구비하는 가스 공급계(303)를 구비하고 있었던 것에 대하여, 본 실시 형태에서는 플라즈마 발생 구조(820)를 구비하지 않고, 전극(483), 전극(484), 전극 커버(485), 전극 커버(486), 플라즈마 형성실(831) 및 개구(832)에 의해 구성되는 플라즈마 발생 구조(830)만을 구비하고, 가스 공급계(303)를 구비하지 않고, 가스 공급관(310)을 구비하는 가스 공급계(301), 가스 공급관(320)을 구비하는 가스 공급계(302)만을 구비하고 있는 점이 제6 실시 형태와 상이하지만, 다른 점은 동일하다.
본 실시 형태의 구조의 기판 처리 장치에 있어서는, 도 9를 참조하여 설명한, 전처리로서, DCS의 공급(스텝 S221), 잔류 가스 제거(스텝 S223), 플라즈마로 여기하고 있지 않은 NH3 공급(스텝 S225) 및 잔류 가스 제거(스텝 S227)의 각 공정을 적어도 1사이클 행하고, 그 후, 실리콘 질화막을 형성하는(스텝 S231∼S237) 처리 방법이나, 도 11을 참조하여 설명한, 전처리로서, 플라즈마로 활성화하고 있지 않은 DCS를 공급하고(스텝 S215), 그 후, 잔류 가스를 제거하고(스텝 S217), 그 후, 실리콘 질화막을 형성하는(스텝 S231∼S237) 처리 방법을 적용할 수 있고, 발생하는 파티클수를 감소시켜, 밀착성을 개선시킬 수 있다.
또한, 상기 각 실시 형태에서는, GST막 상에 아몰퍼스 실리콘 질화막을 형성하였지만, GST막 이외의 금속막이어도, 상기 각 실시 형태는, 적용 가능하다. 바람직하게 적용할 수 있는 금속막으로서는, Ti, TiN, TiSi, W, WN, WSi, Co, CoSi, Al, AlSi, Cu, 및 그들 합금 등을 들 수 있다.
(제8 실시 형태)
도 19, 도 20을 참조하여, 본 실시 형태를 설명한다.
이상의 실시 형태는, 도 5를 참조하여 설명한 바와 같이, 전처리로서, 플라즈마로 활성화하고 있지 않은 N2를 공급한(스텝 S211) 후, 잔류 가스를 제거하거나(스텝 S213), 도 9를 참조하여 설명한 바와 같이, 전처리로서, DCS 공급(스텝 S221), 잔류 가스 제거하고(스텝 S223), 플라즈마로 여기하고 있지 않은 NH3 공급(스텝 S225) 및 잔류 가스 제거(스텝 S227)의 각 공정을 적어도 1사이클 행하거나, 도 11을 참조하여 설명한 바와 같이, 전처리로서, 플라즈마로 활성화하고 있지 않은 DCS를 공급한(스텝 S215) 후, 잔류 가스를 제거하거나(스텝 S217) 하였지만, 본 실시 형태에서는, 이와 같은 전처리를 행하지 않는 점이 이들 실시 형태와 서로 다르지만 다른 점은 동일하다. 본 실시 형태에서 사용하는 장치는, 도 2, 도 4, 도 13을 참조하여 설명한, 제1∼제3 실시 형태의 다른 변형예에서 사용한 장치란, 가스 저장소(315) 및 가스 저장소(315)의 상류측의 밸브(314)를 사용하고 있지 않은 점이 다르지만 다른 점은 동일하다.
또한, 비교예로서, 도 21에 도시한 바와 같이, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)만을 구비하고, 가스 공급계도, 가스 공급계(303)를 구비하지 않고, 가스 공급관(340)을 구비하는 가스 공급계(304) 및 가스 공급관(320)을 구비하는 가스 공급계(302)만 구비하는 기판 처리 장치(101)를 이용한다.
이 비교예에 있어서는, 기판 온도 650℃ 정도의 저온에서, DCS(디클로로실란)와 NH3(암모니아) 플라즈마를 이용하여 ALD법에 의한 아몰퍼스 질화 실리콘막(이하, SiN이라고 약칭함)의 형성을 행하였다. 웨이퍼(200) 상에의 SiN 형성은, DCS 공급 공정, DCS 배기 공정, NH3 플라즈마 공급 공정, NH3 배기 공정을 복수회 반복하여 행함으로써 행해진다. 이 4개의 공정을 반복함으로써, 웨이퍼(200) 상에 소정의 막 두께의 SiN막의 퇴적을 행할 수 있다. ALD법에서는, 그 사이클 처리의 수로 막 두께를 제어할 수 있다.
그러나, 상기한 바와 같은 플라즈마를 이용한 ALD법에 있어서는, 플라즈마를 이용하지 않는 방법에 대하여 파티클이 발생하기 쉽다고 하는 결점을 갖고 있다. 이 문제는, 피처리 기판인 웨이퍼(200) 이외에 퇴적하는 누적막의 마이크로 크랙 발생에 의한 박리 이물 오염이라고 생각된다. 또한 연속적으로 누적되는 막 두께가 커지면 현저하게 발생하는 Area성 파티클 문제이기도 하다. 또한, 고주파 전력을 올리면 파티클 개수는 증가하여 악화된다. 이 파티클 발생이라고 하는 결과는, 고주파 전력이 행한 일량의 일부이기도 하다고 생각된다. 반도체 장치 제조에 있어서 미세화가 진행됨에 따라 웨이퍼(200)의 온도가 저하되는 경향이 있고, 부족한 에너지를 보충하기 위해 고주파 전력을 크게 할 필요가 있으므로, 보다 파티클이 발생하도록 되고 있다.
본 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)를 구비하고, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원을 구비하고 있으므로, 고주파 전력을 분산할 수 있어, 플라즈마원이 1개인 경우에 비해, 각 플라즈마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 주는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다. 또한, 전술한 Area성 파티클의 발생을 억제할 수 있다.
도 20에 도시한 본 실시 형태의 기판 처리 장치와, 도 21에 도시한 비교예의 기판 처리 장치의 양방을 이용하고, 웨이퍼(200)로서 300㎜ 웨이퍼를 이용하고, 웨이퍼(200)의 온도를 350℃로 하여, 도 22에 도시한 성막 조건에서 파티클 발생량의 비교를 행하였다. 반응관(203)의 SiN 누적막 두께가 1.2㎛∼1.3㎛에 있어서의 결과이다. 또한, 도 22에 있어서의 고주파 전력의 X축의 값은, 도 23의 고주파 전력의 X축의 란에 기재되어 있다. 도 23은 고주파 전력과 사이즈 0.08㎛ 이상의 파티클 발생량의 관계를 나타낸 표이며, 도 24는 도 23의 표를 그래프화한 것이다.
이 결과로부터 명백한 바와 같이, 동일한 고주파 전력으로도, 본 실시 형태와 같이 고주파 전력을 분산 공급한 쪽이 파티클 발생량은 적은 것을 알 수 있다. 또한, 도 14에 도시한 바와 같이 3분배한 쪽이 효과가 큰 것은 물론이다.
대부분의 ALD법에 의한 SiN 성막 장치는, 성막 처리와 가스 크리닝 처리의 반복으로 운용되어 있으므로, 본 실시 형태에 따르면, 파티클 발생량을 억제할 수 있으므로, 가스 크리닝의 주기를 연장할 수 있다.
(제9 실시 형태)
다음에 도 25, 도 26을 참조하여 전술한 기판 처리 장치(101)에 사용되는 제9 실시 형태의 처리로(202)에 대해서 설명한다.
이상의 실시 형태는, 버퍼실(423, 433, 433')이나 플라즈마 형성실(821, 831)에 O2 가스를 공급하여 NH3(암모니아)의 플라즈마를 발생시키는 구조이었지만, 버퍼실이나 플라즈마 형성실을 이용하여 플라즈마를 발생시키는 구조의 것이면, 막종이나 가스종에 한정은 없으며, 예를 들면 BTBAS(SiH2(NH(C4H9)2, 비스터셜부틸아미노실란)와 O2를 이용하여 산화 실리콘막을 형성하는 경우이어도 되고, 이하의 실시 형태는, 그와 같은 경우에 관한 것이다.
도 2, 도 3을 참조하여 설명한 제1 실시 형태에서는, 가스 공급계(301)에 있어서, 기체 형상의 DCS를 사용하였으므로, 매스 플로우 컨트롤러(312)와, 가스 저장소(315)와, 가스 저장소(315)와 매스 플로우 컨트롤러(312)와의 사이의 밸브(314)를 사용하고, 또한 가스 공급계(302, 303)로부터 NH3을 공급하였지만, 본 실시 형태에서는, 액체 형상의 BTBAS를 사용하므로, 가스 공급계(301)의 매스 플로우 컨트롤러(312)와, 가스 저장소(315)와, 밸브(314) 대신에, 액체 매스 플로우 컨트롤러(316)와, 기화기(318)와, 액체 매스 플로우 컨트롤러(316)의 상류측의 밸브(317)를 사용하고, 또한 가스 공급계(302, 303)로부터 O2를 공급하는 점이 제1 실시 형태와 상이하지만 다른 점은 동일하다.
가스 공급계(301)의 가스 공급관(310)에는, 상류측으로부터 순서대로, 개폐 밸브인 밸브(317), 액체 원료의 유량 제어 장치인 액체 매스 플로우 컨트롤러(316), 기화 유닛(기화 장치)인 기화기(318) 및 개폐 밸브인 밸브(313)가 설치되어 있다.
또한, 가스 공급관(310)에는, 밸브(313) 및 기화기(318)와의 사이에, 배기관(232)에 접속된 벤트 라인(610) 및 밸브(612)가 설치되어 있다.
주로, 가스 공급관(310), 밸브(317), 액체 매스 플로우 컨트롤러(316), 기화기(318), 밸브(313), 노즐(410), 벤트 라인(610), 밸브(612)에 의해 가스 공급계(301)가 구성되어 있다.
가스 공급관(310)에서는, 액체 원료가 액체 매스 플로우 컨트롤러(316)에 의해 유량 조정되어 기화기(318)에 공급되고, 기화되어 원료 가스로 되어 공급된다.
원료 가스를 처리실(201)에 공급하지 않은 동안은, 밸브(313)를 닫고, 밸브(612)를 열어, 밸브(612)를 통하여 원료 가스를 벤트 라인(610)으로 흘려 둔다.
그리고, 원료 가스를 처리실(201)에 공급할 때에는, 밸브(612)를 닫고, 밸브(313)를 열어, 원료 가스를 밸브(313)의 하류의 가스 공급관(310)에 공급한다. 한편, 캐리어 가스가 매스 플로우 컨트롤러(512)에 의해 유량 조정되어 밸브(513)를 통하여 캐리어 가스 공급관(510)으로부터 공급되고, 원료 가스는 밸브(313)의 하류측에서 이 캐리어 가스와 합류하고, 노즐(410)을 통하여 처리실(201)에 공급된다.
버퍼실(423) 내에는, 가늘고 긴 구조를 갖는 막대 형상 전극(471) 및 막대 형상 전극(472)이, 반응관(203)의 하부로부터 상부에 걸치고 웨이퍼(200)의 적층 방향을 따라서 배설되어 있다. 막대 형상 전극(471) 및 막대 형상 전극(472)은, 각각, 상부로부터 하부에 걸쳐서 전극을 보호하는 보호관인 전극 보호관(451, 452)에 의해 덮여짐으로써 보호되고 있다. 버퍼실(433) 내에는, 가늘고 긴 구조를 갖는 막대 형상 전극(481) 및 막대 형상 전극(482)이, 반응관(203)의 하부로부터 상부에 걸치고 웨이퍼(200)의 적층 방향을 따라서 배설되어 있다. 막대 형상 전극(481) 및 막대 형상 전극(482)은, 각각, 상부로부터 하부에 걸쳐서 전극을 보호하는 보호관인 전극 보호관(461, 462)에 의해 덮여짐으로써 보호되고 있다.
도 27, 도 28을 참조하면, 전극 보호관(461), 전극 보호관(462)은, 보트 지지대(218)의 하부 부근의 높이의 위치에서, 반응관(203)에 형성한 관통 구멍(204, 205)을 각각 통하여, 버퍼실(423) 내에 삽입되어 있다. 전극 보호관(461), 전극 보호관(462)은, 관통 구멍(204, 205)의 위치에서 반응관(203)에 고정되어 있다. 전극 보호관(461), 전극 보호관(462)은, 버퍼실(423) 내에서, 각각 부착판(401)의 구멍(402, 403)을 관통하여 설치되고, 부착판(401)에 의해서 고정되어 있다. 부착판(401)은, 반응관(203) 및 버퍼실 벽(424)에 고정되어 있다. 전극 보호관(451), 전극 보호관(452)도 전극 보호관(461), 전극 보호관(462)과 동일한 구조이다.
본 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라즈마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라즈마원을 구비하고 있다. 플라즈마를 사용하여, 웨이퍼(200)의 처리 온도를 내리기 위해서는, 플라즈마를 형성할 때의 고주파 전력을 크게 할 필요가 있지만, 고주파 전력을 크게 하면, 웨이퍼(200)나 형성하는 막에 주는 데미지가 커지게 된다. 이에 대하여, 본 실시 형태에서는, 제1 플라즈마원 및 제2 플라즈마원이라고 하는 2개의 플라즈마원을 설치하고 있으므로, 플라즈마원이 1개인 경우에 비해, 각 플라즈마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 주는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는, 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선에 대하여 선대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 배기구(230)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 형성되어 있으므로, 웨이퍼(200)의 전체면에 보다 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다. 또한, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심(반응관(203)의 중심)을 지나는 선 상에 더 형성되어 있으므로, 웨이퍼(200)의 전체면에 보다 균일하게 원료 가스가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 노즐(410)의 가스 공급 구멍(411)과, 버퍼실(423)의 가스 공급 구멍(425)과의 거리와, 노즐(410)의 가스 공급 구멍(411)과, 버퍼실(433)의 가스 공급 구멍(435)과의 거리가 동등하게 되도록 가스 공급 구멍(411), 가스 공급 구멍(425), 가스 공급 구멍(435)이 배치되어 있으므로, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
도 29를 참조하면, 컨트롤러(280)는, 조작 메뉴 등을 표시하는 디스플레이(288)와, 복수의 키를 포함하여 구성되고, 각종의 정보나 조작 지시가 입력되는 조작 입력부(290)를 구비하고 있다. 또한, 컨트롤러(280)는, 기판 처리 장치(101) 전체의 동작을 담당하는 CPU(281)와, 제어 프로그램을 포함하는 각종 프로그램 등이 미리 기억된 ROM(282)과, 각종 데이터를 일시적으로 기억하는 RAM(283)과, 각종 데이터를 기억하여 유지하는 HDD(284)와, 디스플레이(288)에의 각종 정보의 표시를 제어함과 함께 디스플레이(288)로부터의 조작 정보를 접수하는 디스플레이 드라이버(287)와, 조작 입력부(290)에 대한 조작 상태를 검출하는 조작 입력 검출부(289)와, 후술하는 온도 제어부(291), 후술하는 압력 제어부(294), 진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 매스 플로우 컨트롤러(312, 322, 332, 512, 522, 532), 후술하는 밸브 제어부(299) 등의 각 부재와 각종 정보의 송수신을 행하는 통신 인터페이스(I/F)부(285)를 구비하고 있다.
CPU(281), ROM(282), RAM(283), HDD(284), 디스플레이 드라이버(287), 조작 입력 검출부(289) 및 통신 I/F부(285)는, 시스템 버스 BUS(286)를 통하여 서로 접속되어 있다. 따라서, CPU(281)는, ROM(282), RAM(283), HDD(284)에의 액세스를 행할 수 있음과 함께, 디스플레이 드라이버(287)를 통한 디스플레이(288)에의 각종 정보의 표시의 제어 및 디스플레이(288)로부터의 조작 정보의 파악, 통신 I/F부(285)를 통한 각 부재와의 각종 정보의 송수신의 제어를 행할 수 있다. 또한, CPU(281)는, 조작 입력 검출부(289)를 통하여 조작 입력부(290)에 대한 유저의 조작 상태를 파악할 수 있다.
온도 제어부(291)는, 히터(207)와, 히터(207)에 전력을 공급하는 가열용 전원(250)과, 온도 센서(263)와, 컨트롤러(280)와의 사이에서 설정 온도 정보 등의 각종 정보를 송수신하는 통신 I/F부(293)와, 수신한 설정 온도 정보와 온도 센서(263)로부터의 온도 정보 등에 기초하여 가열용 전원(250)으로부터 히터(207)에의 공급 전력을 제어하는 히터 제어부(292)를 구비하고 있다. 히터 제어부(292)도 컴퓨터에 의해 실현되어 있다. 온도 제어부(291)의 통신 I/F부(293)와 컨트롤러(280)의 통신 I/F부(285)는 케이블(751)로 접속되어 있다.
압력 제어부(294)는, APC 밸브(243)와, 압력 센서(245)와, 컨트롤러(280)와의 사이에서 설정 압력 정보, APC 밸브(243)의 개폐 정보 등의 각종 정보를 송수신하는 통신 I/F부(296)와, 수신한 설정 압력 정보, APC 밸브(243)의 개폐 정보 등과 압력 센서(245)로부터의 압력 정보 등에 기초하여 APC 밸브(243)의 개폐나 개방도를 제어하는 APC 밸브 제어부(295)를 구비하고 있다. APC 밸브 제어부(295)도 컴퓨터에 의해 실현되어 있다. 압력 제어부(294)의 통신 I/F부(296)와 컨트롤러(280)의 통신 I/F부(285)는 케이블(752)로 접속되어 있다.
진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 액체 매스 플로우 컨트롤러(312), 매스 플로우 컨트롤러(322, 332, 512, 522, 532), 고주파 전원(270)과 컨트롤러(280)의 통신 I/F부(285)는, 각각 케이블(753, 754, 755, 756, 757, 758, 759, 760, 761, 762)로 접속되어 있다.
밸브 제어부(299)는, 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)와, 에어 밸브인 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)에의 에어의 공급을 제어하는 전자기 밸브군(298)을 구비하고 있다. 전자기 밸브군(298)은, 밸브(313, 314, 323, 333, 513, 523, 533, 612, 622, 632)에 각각 대응하는 전자기 밸브(297)를 구비하고 있다. 전자기 밸브군(298)과 컨트롤러(280)의 통신 I/F부(285)는 케이블(763)로 접속되어 있다.
제1 실시 형태에서는 기체 형상의 DCS를 사용하였지만, 본 실시 형태에서는 액체 형상의 BTBAS를 사용하므로, 가스 공급계(301)에 있어서, 제1 실시 형태의 매스 플로우 컨트롤러(312)와, 가스 저장소(315)와, 밸브(314) 대신에, 본 실시 형태에서는, 액체 매스 플로우 컨트롤러(316)와, 기화기(318)와, 밸브(317)를 사용하고 있으므로, 도 6을 참조하면, 본 실시 형태는, 제1 실시 형태의 매스 플로우 컨트롤러(312) 대신에 액체 매스 플로우 컨트롤러(316)를 사용하고, 밸브(314) 대신에 밸브(317)를 사용하고 있는 점이 제1 실시 형태와 상이하지만, 다른 점은 동일하다.
액체 매스 플로우 컨트롤러(316), 매스 플로우 컨트롤러(322, 332, 512, 522, 532), 밸브(313, 317, 323, 333, 513, 523, 533, 612, 622, 632), APC 밸브(243), 가열용 전원(250), 온도 센서(263), 압력 센서(245), 진공 펌프(246), 보트 회전 기구(267), 보트 엘리베이터(115), 고주파 전원(270) 등의 각 부재는 컨트롤러(280)에 접속되어 있다. 컨트롤러(280)는, 액체 매스 플로우 컨트롤러(316), 매스 플로우 컨트롤러(322, 332, 512, 522, 532)의 유량 제어, 밸브(313, 317, 323, 333, 513, 523, 533, 612, 622, 632)의 개폐 동작 제어, APC 밸브(243)의 개폐 제어 및 압력 센서(245)로부터의 압력 정보에 기초하는 개방도 조정 동작을 통한 압력 제어, 온도 센서(263)로부터의 온도 정보에 기초하는 가열용 전원(250)으로부터 히터(207)에의 전력 공급량 조정 동작을 통한 온도 제어, 고주파 전원(270)으로부터 공급되는 고주파 전력의 제어, 진공 펌프(246)의 기동ㆍ정지 제어, 보트 회전 기구(267)의 회전 속도 조절 제어, 보트 엘리베이터(115)의 승강 동작 제어 등을 각각 행하도록 되어 있다.
다음으로, 상술한 기판 처리 장치를 이용하여 대규모 집적 회로(LSI:Large Scale Integration)를 제조하는 반도체 장치(디바이스)의 제조 공정의 일례에 대해서 설명한다. 또한, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(280)에 의해 제어된다.
LSI는, 실리콘 웨이퍼 상에 처리를 실시하는 웨이퍼 프로세스를 행한 후, 조립 공정, 시험 공정, 신뢰성 시험 공정을 거쳐서 제조된다. 웨이퍼 프로세스는, 실리콘 웨이퍼에 산화, 확산 등의 가공을 실시하는 기판 공정과, 그 표면에 배선을 형성하는 배선 공정으로 구분되고, 배선 공정에서는, 리소그래피 공정을 중심으로 세정, 열처리, 막 형성 등이 반복하여 행해진다. 리소그래피 공정에서는, 레지스트 패턴을 형성하고, 그 패턴을 마스크로 하여 에칭을 행함으로써 그 패턴의 하층을 가공한다.
다음으로, 도 30a∼도 30f를 참조하면서, 웨이퍼(200) 상에 레지스트 패턴을 형성하는 처리 시퀀스의 일례에 대해서 설명한다.
이 예에서는, 패터닝을 2회 이상 행하여 패턴을 형성하는 더블 패터닝 기술(DPT:Double ㎩tterning Technology)을 이용한다. 이 DPT에 따르면, 1회의 패터닝으로 형성되는 패턴보다도 미세한 패턴을 형성할 수 있다. 처리 시퀀스에서는, 웨이퍼(200) 상에 제1 레지스트 패턴(705)을 형성하는 제1 레지스트 패턴 형성 공정과, 제1 레지스트 패턴(705) 상에 제1 레지스트 보호막으로서 산화 실리콘막(706)을 형성하는 산화 실리콘막 형성 공정과, 산화 실리콘막(706) 상에 제2 레지스트 패턴(709)을 형성하는 제2 레지스트 패턴 공정을, 이 순서대로 실시한다. 이하, 각 공정에 대해서 설명한다.
<제1 레지스트 패턴 형성 공정>
제1 레지스트 패턴 형성 공정에서는, 웨이퍼(200) 상에 형성된 하드 마스크(702) 상에 제1 레지스트 패턴(705)을 형성한다. 최초로, 웨이퍼(200) 상에 형성된 하드 마스크(702) 상에, 제1 레지스트(703)를 도포한다(도 30a 참조).
다음으로, 베이킹, ArF 엑시머 광원(193㎚)이나 KrF 엑시머 광원(248㎚) 등의 광원에 의한 마스크 패턴 등을 이용한 선택적 노광, 현상 등을 행함으로써, 제1 레지스트 패턴(705)을 형성한다(도 30b 참조).
<제1 레지스트 보호막 형성 공정>
제1 레지스트 보호막 형성 공정에서는, 제1 레지스트 패턴 형성 공정에서 형성된 제1 레지스트 패턴(705) 상 및 제1 레지스트 패턴(705)이 형성되어 있지 않은 하드 마스크(702) 상에, 산화 실리콘의 박막(706)을 제1 레지스트 패턴(705)의 보호막으로서 형성한다(도 30c 참조). 이에 의해, 제1 레지스트 패턴(705)의 형상 변화나 막질 변화를 방지하여 후술하는 제2 레지스트(707)의 용제로부터 보호한다. 이 산화 실리콘막(706)의 형성을 상술한 기판 처리 장치(101)를 사용하여 행하지만, 상세한 것은 후술한다.
<제2 레지스트 패턴 형성 공정>
제2 레지스트 패턴 형성 공정에서는, 제1 레지스트 보호막 형성 공정에서 제1 레지스트 패턴(705) 상에 형성된 산화 실리콘막(706) 상이며, 제1 레지스트 패턴(705)이 형성되는 위치와는 상이한 위치에, 제2 레지스트 패턴(709)을 형성한다. 본 공정에서는, 제1 레지스트 패턴 형성 공정과 마찬가지의 처리를 행한다.
최초로, 제1 레지스트 패턴(705)의 보호막인 산화 실리콘막(706) 상에, 제2 레지스트(707)를 도포한다(도 30d 참조).
다음으로, 베이킹, ArF 엑시머 광원(193㎚)이나 KrF 엑시머 광원(248㎚) 등에 의한 노광, 현상 등을 행함으로써, 제2 레지스트 패턴(709)을 형성한다(도 30e 참조).
상기한 바와 같이, 제1 레지스트 패턴 형성 공정, 제1 레지스트 보호막 형성 공정, 제2 레지스트 패턴 형성 공정을 실시함으로써, 미세한 레지스트 패턴을 형성할 수 있다.
또한, 제2 레지스트 패턴(709)의 형성 후이며, 소정의 처리(예를 들면 치수검사, 정렬 검사, 리워크 처리 등)를 실시한 후, 필요에 따라서 산화 실리콘막(706)을 제거하기 위해, 다음과 같은 제1 레지스트 보호막 제거 공정을 실시해도 된다.
<제1 레지스트 보호막 제거 공정>
제1 레지스트 보호막 제거 공정에서는, 제1 레지스트 보호막 형성 공정에서 형성된 제1 레지스트 보호막으로서의 산화 실리콘막(706)을 제거한다(도 30f 참조).
제거 방식에는, 웨트 에칭 방식과 드라이 에칭 방식의 2개가 있다. 웨트 에칭에 의해 산화 실리콘막(706)을 제거하는 경우의 에칭액으로서는, 예를 들면 불화 수소산(HF)액이며, 희박한 HF 수용액 등을 들 수 있다. 또한, 드라이 에칭 방식에 의해 산화 실리콘막(604)을 제거하는 경우에는, 예를 들면 산소 플라즈마 등을 이용할 수 있다.
또한, 상기에서는, 레지스트 패턴을 2회 형성하는 공정에 대해서 설명하였지만, 레지스트 패턴은 3회 이상 형성해도 되고, 그 경우는, 레지스트 패턴 형성 공정과 산화 실리콘막 형성 공정을 소정 횟수 반복하여 행한다. 이 산화 실리콘막의 형성도 상술한 기판 처리 장치(101)를 사용하여 행하지만, 상세한 것은 후술한다.
또한 레지스트 패턴을 3회 이상 형성하는 경우, 필요에 따라서, 제1 레지스트 패턴 형성 공정→제1 레지스트 보호막(제1 산화 실리콘막) 형성 공정→제2 레지스트 패턴 형성 공정→제1 레지스트 보호막(제1 산화 실리콘막) 제거→제3 레지스트 패턴 형성 공정→제2 레지스트 보호막(제2 산화 실리콘막) 형성 공정→제4 레지스트 패턴 형성 공정→제2 레지스트 보호막(제2 산화 실리콘막) 제거→제5 레지스트 패턴 형성 공정→ …이라고 하는 바와 같이, 보호막인 산화 실리콘막을 1회씩 제거해도 된다.
또한, 상기에서는, 제1 레지스트 패턴(705)은 웨이퍼(200) 상에 형성된 하드 마스크(702) 상에 형성하는 것으로 하고 있지만, 하드 마스크(702)는 없어도 된다. 또한, 레지스트 대신에 ACL(아몰퍼스 카본층:Amorphous Carbon Layer)을 이용해도 된다. ACL을 이용하는 경우는, ACL을 보호하기 위한 산화 실리콘막을 형성할 때의 처리 온도는 레지스트보다 높은 온도이어도 되고, 200℃ 이하이면 된다. 200℃ 이하이면 ACL이 가열에 의해 변질되는 것을 유효하게 방지할 수 있다.
다음으로, 도 31a∼도 31d를 참조하면서, 웨이퍼(200) 상에 레지스트 패턴을 형성하는 처리 시퀀스의 다른 예에 대해서 설명한다.
이 예에서는, 사이드 웰을 이용하여 미세한 패턴을 형성하는 자기 정합 더블 패터닝 기술(SASP:Self Aligned Double ㎩tterning)을 이용한다.
우선, 웨이퍼(200) 상에 레지스트(721)를 형성하고, 리소그래피 공정으로 패터닝하여, 제1 레지스트 패턴(722)을 형성한다(도 31a 참조).
다음으로, 제1 레지스트 패턴(722) 상에, 저온에서 산화 실리콘막(723)을 200℃ 이하의 저온에서 형성한다(도 31b 참조). 이 산화 실리콘막(723)의 형성에 상술한 기판 처리 장치(101)를 사용하여 행하지만, 상세한 것은 후술한다.
다음으로, 드라이 에칭 등에 의해, 산화 실리콘막(723)의 이방성 에칭을 행하고, 레지스트 패턴(722)의 측벽만 산화 실리콘막(723)을 사이드 웰(724)로서 남긴다(도 31c 참조).
다음으로, 산화 실리콘막의 사이드 웰(724)을 마스크로 하여, 드라이 에칭 등에 의해, 노출된 레지스트(721)를 수직 방향으로 이방성 에칭하고, 레지스트(721)로 이루어지는 미세 패턴(725)을 형성한다(도 31d 참조).
또한, 레지스트 대신에 ACL(아몰퍼스 카본층:Amorphous Carbon Layer)을 이용해도 된다. ACL을 이용하는 경우는, ACL을 보호하기 위한 산화 실리콘막을 형성할 때의 처리 온도는 레지스트보다 높은 온도이어도 되고, 200℃ 이하이면 된다. 200℃ 이하이면 ACL이 가열에 의해 변질되는 것을 유효하게 방지할 수 있다.
다음으로, 기판 처리 장치(101)를 사용하여 제1 레지스트 보호막으로서의 산화 실리콘막(706)이나 에칭 마스크로서의 산화 실리콘막(723)을 200℃ 이하의 저온으로 성막하는 예에 대해서 설명한다.
CVD법이나 ALD법에서는, 예를 들면, CVD법의 경우, 형성하는 막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스 등을 동시에 공급하고, 또한 ALD법의 경우, 형성하는 막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스 등을 교대로 공급한다. 그리고, 공급시의 공급 유량, 공급 시간, 플라즈마 파워 등의 처리 조건을 제어함으로써 산화 실리콘막(SiO막)이나 질화 실리콘막(SiN막)을 형성한다. 그들 기술에서는, 예를 들면 SiO막을 형성하는 경우, 막의 조성비가 화학 양론 조성인 O/Si≒2로 되도록, 또한 예를 들면 SiN막을 형성하는 경우, 막의 조성비가 화학 양론 조성인 N/Si≒1.33으로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다.
한편, ALD법과는 달리, 형성하는 막의 조성비가 화학 양론 조성과는 상이한 소정의 조성비로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어하는 것도 가능하다. 즉, 형성하는 막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다도 화학 양론 조성에 대하여 과잉으로 되도록 하는 것을 목적으로 하여, 공급 조건을 제어한다. 이와 같이 형성하는 막을 구성하는 복수의 원소의 비율, 즉, 막의 조성비를 제어하면서 성막을 행하는 것도 가능하다. 이하에서는, ALD법에 의해, 상이한 종류의 원소를 포함하는 복수 종류의 가스를 교대로 공급하여 화학 양론 조성을 갖는 산화 실리콘막을 형성하는 시퀀스예에 대해서 설명한다.
여기서는 제1 원소를 실리콘(Si), 제2 원소를 산소(O)로 하고, 제1 원소를 포함하는 원료로서 실리콘 함유 원료이며 액체 원료의, BTBAS(SiH2(NH(C4H9)2, 비스터셜부틸아미노실란)을 기화한 BTBAS 가스를, 제2 원소를 포함하는 반응 가스로서 산소 함유 가스인 O2 가스를 이용하고, 기판 상에 절연막으로서의 산화 실리콘막을 형성하는 예에 대해서 도 32∼도 33을 참조하여 설명한다. 도 32는, 패턴을 형성할 때에 사용하는 산화 실리콘막의 제조 프로세스를 설명하기 위한 플로우차트이다. 도 33은, 패턴을 형성할 때에 사용하는 산화 실리콘막의 제조 프로세스를 설명하기 위한 타이밍차트이다.
우선, 히터(207)에 전력을 공급하는 가열용 전원(250)을 제어하여 처리실(201) 내를, 200℃ 이하, 보다 바람직하게는 100℃ 이하의 온도이며 예를 들면 100℃로 된 온도로 유지해 둔다.
그 후, 제1 레지스트 패턴(705)이 형성된(도 30b 참조) 복수매의 웨이퍼(200) 또는 제1 레지스트 패턴(722)이 형성된(도 31a 참조) 복수매의 웨이퍼(200)를 보트(217)에 장전(웨이퍼 차지)한다(스텝 S301).
그 후, 진공 펌프(246)를 기동한다. 또한, 노구 셔터(147)(도 1 참조)를 연다. 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다(스텝 S302). 이 상태에서, 시일 캡(219)은 O링(220)을 통하여 반응관(203)의 하단을 시일한 상태로 된다. 그 후, 보트(217)를 보트 구동 기구(267)에 의해 회전시켜, 웨이퍼(200)를 회전시킨다.
그 후, APC 밸브(243)를 열어 진공 펌프(246)에 의해 처리실(201) 내가 원하는 압력(진공도)으로 되도록 진공화하고, 웨이퍼(200)의 온도가 100℃에 도달하여 온도 등이 안정되면(스텝 S303), 처리실(201) 내의 온도를 100℃로 유지한 상태에서 다음의 스텝을 순차적으로 실행한다.
이때, 처리실(201) 내의 압력은, 압력 센서(245)에 의해 측정되고, 이 측정된 압력에 기초하여 APC 밸브(244)의 개방도가 피드백 제어된다(압력 조정). 또한, 처리실(201) 내가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 가열용 전원(250)으로부터 히터(207)로의 전력 공급 상태가 피드백 제어된다(온도 조정).
다음으로, BTBAS 가스와 O2 가스를 처리실(201) 내에 공급함으로써 산화 실리콘막(706)(도 30c 참조), 산화 실리콘막(723)(도 31b 참조)을 성막하는 산화 실리콘막 형성 공정을 행한다. 산화 실리콘막 형성 공정에서는 다음의 4개의 스텝(S304∼S307)을 순차적으로 반복하여 실행한다. 본 실시 형태에서는, ALD법을 이용하여 산화 실리콘막을 형성한다.
(BTBAS 공급:스텝 S304)
스텝 S204에서는 가스 공급계(301)의 가스 공급관(310), 노즐(410)로부터 BTBAS를 처리실(201) 내에 공급한다. 밸브(313)를 닫아 두고, 밸브(317, 612)를 연다. BTBAS는 상온에서 액체이고, 액체의 BTBAS가 액체 매스 플로우 컨트롤러(316)에 의해 유량 조정되어 기화기(318)에 공급되고 기화기(318)에서 기화된다. BTBAS를 처리실(201)에 공급하기 전에는 밸브(313)를 닫고, 밸브(612)를 열어, 밸브(612)를 통해 BTBAS를 벤트 라인(610)으로 흘려 둔다.
그리고, BTBAS를 처리실(201)에 공급할 때에는 밸브(612)를 닫고, 밸브(313)를 열어, BTBAS를 밸브(313)의 하류의 가스 공급관(310)에 공급함과 함께, 밸브(513)를 열어, 캐리어 가스(N2)를 캐리어 가스 공급관(510)으로부터 공급한다. 캐리어 가스(N2)의 유량은 매스 플로우 컨트롤러(512)에 의해 조정한다. BTBAS는 캐리어 가스(N2)와 밸브(313)의 하류측으로 합류하여 혼합되어, 노즐(410)의 가스 공급 구멍(411)을 통해 처리실(201)에 공급되면서 배기관(231)으로부터 배기된다. 이때, APC 밸브(243)를 적정하게 조정하여 처리실(201) 내의 압력을 50 내지 900㎩의 범위이며, 예를 들면 300㎩로 유지한다. 액체 매스 플로우 컨트롤러(312)에 의해 제어하는 BTBAS의 공급량은 0.05 내지 3.00g/min의 범위이며, 예를 들면 1.00g/min으로 한다. BTBAS에 웨이퍼(200)를 노출시키는 시간은 2 내지 6초간의 범위이며, 예를 들면 3초간이다. 또한, 히터(207)에 전력을 공급하는 가열용 전원(250)을 제어하여 처리실(201) 내를, 200℃ 이하, 보다 바람직하게는 100℃ 이하의 온도이며, 예를 들면 100℃로 되는 온도로 유지해 둔다.
이때, 처리실(201) 내에 흘리고 있는 가스는 BTBAS와 불활성 가스인 N2뿐이고, O2는 존재하지 않는다. 따라서, BTBAS는 기상 반응을 일으키는 일은 없고, 웨이퍼(200)의 표면이나 기초막과 표면 반응(화학 흡착)하여, 원료(BTBAS)의 흡착층(이하, Si 함유층)을 형성한다. BTBAS의 화학 흡착층이라 함은, BTBAS 분자의 연속적인 흡착층 외에, 불연속인 화학 흡착층도 포함한다.
동시에, 가스 공급관(320)의 도중에 연결되어 있는 캐리어 가스 공급관(520)으로부터, 밸브(523)를 열어 N2(불활성 가스)를 흘리면, O2측의 노즐(420), 버퍼실(423)이나 가스 공급관(320)에 BTBAS가 감돌아 들어가는 것을 방지할 수 있다. 마찬가지로, 동시에 가스 공급관(330)의 도중에 연결되어 있는 캐리어 가스 공급관(530)으로부터, 밸브(533)를 열어 N2(불활성 가스)를 흘리면, O2측의 노즐(430), 버퍼실(433)이나 가스 공급관(330)에 BTBAS가 감돌아 들어가는 것을 방지할 수 있다. 또한, BTBAS가 감돌아 들어가는 것을 방지하기 위해서이므로, 매스 플로우 컨트롤러(522, 532)에 의해 제어하는 N2(불활성 가스)의 유량은 적어도 된다.
(잔류 가스 제거:스텝 S305)
스텝 S305에서는 잔류 BTBAS 등의 잔류 가스를 처리실(201) 내로부터 제거한다. 가스 공급관(310)의 밸브(313)를 닫아 처리실(201)로의 BTBAS의 공급을 정지하고, 밸브(612)를 열어 벤트 라인(610)으로 BTBAS를 흘린다. 이때 배기관(231)의 APC 밸브(243)를 완전 개방으로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 20㎩ 이하로 될 때까지 배기하고, 처리실(201) 내에 잔류하는 잔류 BTBAS 등의 잔류 가스를 처리실(201) 내로부터 배제한다. 이때 N2 등의 불활성 가스를, BTBAS 공급 라인인 가스 공급관(310)으로부터, 가스 공급관(320, 330)으로부터 처리실(201) 내로 더 공급하면, 잔류 BTBAS 등의 잔류 가스를 배제하는 효과가 더욱 높아진다.
(활성화된 O2 공급:스텝 S306)
스텝 S306에서는 O2를 가스 공급계(302)의 가스 공급관(320)으로부터 노즐(420)의 가스 공급 구멍(421)을 통해 버퍼실(423) 내에 공급하고, O2를 가스 공급계(303)의 가스 공급관(330)으로부터 노즐(430)의 가스 공급 구멍(431)을 통해 버퍼실(433) 내에 공급한다. 이때, 막대 형상 전극(471) 및 막대 형상 전극(472) 사이에 고주파 전원(270)으로부터 정합기(271)를 통해 고주파 전력을 인가함으로써, 버퍼실(423) 내에 공급된 O2 가스는 플라즈마로 여기되어, 활성종으로서 가스 공급 구멍(425)으로부터 처리실(201) 내로 공급되면서 가스 배기관(231)으로부터 배기된다. 또한, 막대 형상 전극(481) 및 막대 형상 전극(482) 사이에 고주파 전원(270)으로부터 정합기(271)를 통해 고주파 전력을 인가함으로써, 버퍼실(433) 내에 공급된 O2 가스는 플라즈마로 여기되어, 활성종으로서 가스 공급 구멍(435)으로부터 처리실(201) 내에 공급되면서 가스 배기관(231)으로부터 배기된다.
O2는 매스 플로우 컨트롤러(322)에 의해 유량 조정되어 가스 공급관(320)으로부터 버퍼실(423) 내에 공급되고, 매스 플로우 컨트롤러(332)에 의해 유량 조정되어 가스 공급관(330)으로부터 버퍼실(433) 내에 공급된다. O2는 버퍼실(423)에 공급하기 전에는 밸브(323)를 닫고, 밸브(622)를 열어, 밸브(622)를 통해 벤트 라인(620)으로 흘려 두고, 버퍼실(433)에 공급하기 전에는 밸브(333)를 닫고, 밸브(632)를 열어, 밸브(632)를 통해 벤트 라인(630)으로 흘려 둔다. 그리고, O2를 버퍼실(423)에 공급할 때에는, 밸브(622)를 닫고, 밸브(323)를 열어, O2를 밸브(323)의 하류의 가스 공급관(320)에 공급함과 함께, 밸브(523)를 열어, 캐리어 가스(N2)를 캐리어 가스 공급관(520)으로부터 공급한다. 캐리어 가스(N2)의 유량은 매스 플로우 컨트롤러(522)에 의해 조정한다. O2는 캐리어 가스(N2)와 밸브(323)의 하류측으로 합류하여 혼합되어, 노즐(420)을 통해 버퍼실(423)에 공급된다. 또한, O2를 버퍼실(433)에 공급할 때에는, 밸브(632)를 닫고, 밸브(333)를 열어, O2를 밸브(333)의 하류의 가스 공급관(330)에 공급함과 함께, 밸브(533)를 열어 캐리어 가스(N2)를 캐리어 가스 공급관(530)으로부터 공급한다. 캐리어 가스(N2)의 유량은 매스 플로우 컨트롤러(532)에 의해 조정한다. O2는 캐리어 가스(N2)와 밸브(333)의 하류측으로 합류하여 혼합되어, 노즐(430)을 통해 버퍼실(433)에 공급된다.
O2 가스를 플라즈마로 여기함으로써 활성종으로서 흘릴 때에는, APC 밸브(243)를 적정하게 조정하여 처리실(201) 내의 압력을, 예를 들면 50 내지 900㎩의 범위 내의 압력이며, 예를 들면 500㎩로 한다. 매스 플로우 컨트롤러(322)에 의해 제어하는 O2 가스의 공급 유량은, 예를 들면 2000 내지 9000sccm의 범위 내의 유량이며, 예를 들면 6000sccm으로 한다. 매스 플로우 컨트롤러(332)에 의해 제어하는 O2 가스의 공급 유량은, 예를 들면 2000 내지 9000sccm의 범위 내의 유량이며, 예를 들면 6000sccm으로 한다. O2 가스를 플라즈마로 여기함으로써 얻어진 활성종에 웨이퍼(200)를 노출시키는 시간, 즉 가스 공급 시간은, 예를 들면 3 내지 20초간의 범위 내의 시간이고, 예를 들면 9초로 한다. 또한, 고주파 전원(270)으로부터 막대 형상 전극(471) 및 막대 형상 전극(472) 사이에 인가하는 고주파 전력은, 예를 들면 20 내지 600W의 범위 내의 전력이며, 예를 들면 200W로 되도록 설정하고, 고주파 전원(270)으로부터 막대 형상 전극(481) 및 막대 형상 전극(482) 사이에 인가하는 고주파 전력은, 예를 들면 20 내지 600W의 범위 내의 전력이며, 예를 들면 200W로 되도록 설정한다. 또한, 히터(207)에 전력을 공급하는 가열용 전원(250)을 제어하여 처리실(201) 내를, 200℃ 이하, 보다 바람직하게는 100℃ 이하의 온도이며, 예를 들면 100℃가 되는 온도로 유지해 둔다. O2 가스는 그대로에서는 반응 온도가 높고, 상기와 같은 웨이퍼 온도, 처리실 내 압력에서는 반응하기 어려우므로, 플라즈마로 여기함으로써 활성종으로 한 후 흘리도록 하고 있고, 이로 인해 웨이퍼(200)의 온도는 상술한 바와 같이 설정한 낮은 온도 범위로 하는 것이 가능해진다. 단, 온도 변경에는 시간이 걸리기 때문에 BTBAS 가스를 공급할 때의 온도와 동일하게 하는 것이 바람직하다.
이때, 처리실(201) 내에 흘리고 있는 가스는 O2 가스를 플라즈마로 여기함으로써 얻어진 활성종(O2 플라즈마)이고, 처리실(201) 내에는 BTBAS 가스는 흘리고 있지 않다. 따라서, O2 가스는 기상 반응을 일으키는 일은 없고, 활성종으로 되거나, 혹은 활성화된 O2 가스는 스텝 S204에서 웨이퍼(200) 상에 형성된 제1 층으로서의 실리콘 함유층과 반응한다. 이에 의해 실리콘 함유층은 산화되어, 실리콘(제1 원소) 및 산소(제2 원소)를 포함하는 제2 층, 즉 산화 실리콘층(SiO층)으로 개질된다.
동시에, 가스 공급관(310)의 도중에 연결되어 있는 캐리어 가스 공급관(510)으로부터, 밸브(513)를 열어 N2(불활성 가스)를 흘리면, BTBAS측의 노즐(410)이나 가스 공급관(310)에 O2가 감돌아 들어가는 것을 방지할 수 있다. 또한, O2가 감돌아 들어가는 것을 방지하기 위해서이므로, 매스 플로우 컨트롤러(512)에 의해 제어하는 N2(불활성 가스)의 유량은 적어도 된다.
(잔류 가스 제거:스텝 S307)
스텝 S307에서는 미반응 혹은 산화에 기여한 후의 잔류 O2 등의 잔류 가스를 처리실(201) 내로부터 제거한다. 가스 공급관(320)의 밸브(323)를 닫아 처리실(201)로의 O2의 공급을 정지하고, 밸브(622)를 열어 벤트 라인(620)으로 O2를 흘리고, 가스 공급관(330)의 밸브(333)를 닫아 처리실(201)로의 O2의 공급을 정지하고, 밸브(632)를 열어 벤트 라인(630)으로 O2를 흘린다. 이때 배기관(231)의 APC 밸브(243)를 완전 개방으로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 20㎩ 이하로 될 때까지 배기하고, 처리실(201) 내에 잔류하는 잔류 O2 등의 잔류 가스를 처리실(201) 내로부터 배제한다. 이때 N2 등의 불활성 가스를, O2 공급 라인인 가스 공급관(320, 330)으로부터, 가스 공급관(310)으로부터 처리실(201) 내로 더 공급하면, 잔류 O2 등의 잔류 가스를 배제하는 효과가 더욱 높아진다.
상기 스텝 S304 내지 S307을 1 사이클로 하여, 적어도 1회 이상 행함으로써(스텝 S308) 웨이퍼(200) 상에 ALD법을 이용하여 소정막 두께의 산화 실리콘막(706)(도 30c 참조), 산화 실리콘막(723)(도 31b 참조)을 성막한다.
상술한 스텝 S304 내지 S307을 1 사이클로 하여, 이 사이클을 적어도 1회 이상 행함으로써, 제1 레지스트 패턴(705) 및 하드 마스크(702) 상에, 제1 레지스트 보호막으로서, 소정막 두께의 실리콘(제1 원소) 및 산소(제2 원소)를 포함하는 산화 실리콘막(706)이 형성되고(도 30c 참조), 제1 레지스트 패턴(722) 상에 산화 실리콘막(723)이 형성된다(도 31b 참조).
소정막 두께의 산화 실리콘막(706) 또는 산화 실리콘막(723)을 형성하는 성막 처리가 이루어지면, N2 등의 불활성 가스를 처리실(201) 내로 공급하면서 배기함으로써 처리실(201) 내를 불활성 가스로 퍼지한다(가스 퍼지:스텝 S310). 또한, 가스 퍼지는 잔류 가스를 제거한 후, APC 밸브(243)를 닫고, 밸브(513, 523, 533)를 열어 행하는 N2 등의 불활성 가스의 처리실(201) 내로의 공급과, 그 후, 밸브(513, 523, 533)를 닫아 N2 등의 불활성 가스의 처리실(201) 내로의 공급을 정지함과 함께, APC 밸브(243)를 열어 행하는 처리실(201) 내의 진공화를 반복하여 행하는 것이 바람직하다.
그 후, 보트 회전 기구(267)를 멈추고, 보트(217)의 회전을 멈춘다. 그 후, 밸브(513, 523, 533)를 열어 처리실(201) 내의 분위기를 N2 등의 불활성 가스로 치환하고(불활성 가스 치환), 처리실(201) 내의 압력을 상압으로 복귀시킨다(대기압 복귀:스텝 S312). 그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)을 하강하고, 반응관(203)의 하단부를 엶과 함께, 처리된 웨이퍼(200)가 보트(217)에 지지된 상태로 반응관(203)의 하단부로부터 처리실(201)의 외부로 반출(보트 언로드:스텝 S314)한다. 그 후, 반응관(203)의 하단부를 노구 셔터(147)로 폐쇄한다. 그 후, 진공 펌프(246)를 멈춘다. 그 후, 처리된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지:스텝 S316). 이에 의해, 1회의 성막 처리(뱃치 처리)가 종료된다.
다음에, 도 34를 참조하여, 본 실시 형태의 일 변형예를 설명한다.
상기 제9 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선에 대해 선 대칭으로 설치되어 있고, 배기구(230)도 이 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선 상에 형성되어 있고, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선 상에 형성되어 있고, 제1 플라즈마 발생 구조(429)와 제2 플라즈마 발생 구조(439)는 배기구(230) 근방에 설치되어 있지만, 본 변형예에서는, 제1 플라즈마 발생 구조(429)와 제2 플라즈마 발생 구조(439)는 웨이퍼(200)를 사이에 두고 대향하는 위치(180도 반대측)에 설치되고, 웨이퍼(200)의 중심 및 반응관(203)의 중심에 대해 점대칭으로 설치되고, 또한 노즐(410)은 배기구(230)와 제2 플라즈마 발생 구조(439) 사이에 설치되어 있는 점이 상기 제9 실시 형태와 다르지만, 다른 점은 동일하다.
본 변형예에서도, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라스마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라스마원을 구비하고 있으므로, 플라스마원이 1개인 경우에 비해, 각 플라스마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 부여하는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는 웨이퍼(200)를 사이에 두고 대향하는 위치(180도 반대측)에 설치되고, 웨이퍼(200)의 중심 및 반응관(203)의 중심에 대해 점대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 의해 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
다음에, 도 35를 참조하여, 본 실시 형태의 다른 변형예를 설명한다.
상기 제9 실시 형태에서는, 노즐(410)의 가스 공급 구멍(411)은 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선에 대해 선 대칭으로 설치되어 있고, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선 상에 형성되어 있지만, 본 변형예에서는, 제1 플라즈마 발생 구조(429)와, 제2 플라즈마 발생 구조(439)는 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선에 대해 선 대칭으로 설치되어 있지만, 노즐(410)의 가스 공급 구멍(411)은 이 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선 상에 형성되어 있지 않은 점이 상기 제9 실시 형태와 다르지만, 다른 점은 동일하다.
본 변형예에서도, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라스마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라스마원을 구비하고 있으므로, 플라스마원이 1개인 경우에 비해, 각 플라스마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 부여하는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조와 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조는 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선에 대해 선 대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 의해 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
다음에, 도 36을 참조하여, 본 실시 형태의 또 다른 변형예를 설명한다.
본 변형예에서는, 상기 도 35에 도시하는 다른 변형예에 대해, 주로, 막대 형상 전극(481'), 막대 형상 전극(482'), 전극 보호관(461'), 전극 보호관(462'), 버퍼실(433') 및 가스 공급 구멍(435')에 의해 구성되고, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)와 동일한 구조의, 제3 플라즈마 발생 구조(439')를 추가하고, 이 제3 플라즈마 발생 구조(439')를, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 웨이퍼(200)의 중심 및 반응관(203)의 중심에 대해 점대칭으로 설치하고 있다.
본 변형예에서는 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라스마원과, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라스마원에, 주로, 막대 형상 전극(481'), 막대 형상 전극(482'), 전극 보호관(461'), 전극 보호관(462'), 정합기(271), 고주파 전원(270)에 의해 구성되는 제3 플라스마원이 더 추가되어 있으므로, 플라스마원이 2개인 경우에 비해, 각 플라스마원에 공급하는 고주파 전력이 더 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 부여하는 데미지를 보다 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 보다 낮게 할 수 있다.
(제10 실시 형태)
도 37, 도 38을 참조하여 본 실시 형태를 설명한다.
제9 실시 형태에서는, 전극 보호관(461), 전극 보호관(462)은 보트 지지대(218)의 하부 부근의 높이의 위치에서, 반응관(203)에 형성한 관통 구멍(204, 205)을 각각 통해, 버퍼실(423) 내에 삽입되고, 막대 형상 전극(481, 482)도 보트 지지대(218)의 하부 부근의 높이의 위치에서 버퍼실(423) 내에 삽입되고, 전극 보호관(461), 전극 보호관(462)은 버퍼실(423) 내에서 부착판(401)에 의해 고정되고, 전극 보호관(451), 전극 보호관(452)과 막대 형상 전극(471, 472)도 전극 보호관(461), 전극 보호관(462)과 막대 형상 전극(481, 482)과 동일한 구조이지만, 본 실시 형태에서는, 전극 보호관(461), 전극 보호관(462)은 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분)의 높이의 위치에서, 반응관(203)에 형성한 관통 구멍(206, 207)을 각각 통해, 버퍼실(423) 내에 삽입되고, 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분)의 높이의 위치보다 하측의 위치에서는, 반응관(203)의 외측에 설치되고, 막대 형상 전극(481, 482)도 보트 지지대(218)의 상부 부근의 높이의 위치에서 버퍼실(423) 내에 삽입되고, 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분)의 높이의 위치보다 하측의 위치에서는 반응관(203)의 외측에 설치되고, 전극 보호관(461), 전극 보호관(462)은 반응관(203)의 외측에서 각각 부착판(401)의 구멍(405, 406)을 관통하여 설치되어, 부착판(401)에 의해 고정되고, 부착판(401)은 반응관(203)에 고정되고, 전극 보호관(451), 전극 보호관(452)과 막대 형상 전극(471, 472)도 전극 보호관(461), 전극 보호관(462)과 막대 형상 전극(481, 482)과 동일한 구조인 점이 제9 실시 형태와 다르지만, 다른 점은 동일하다. 본 실시 형태에서는, 막대 형상 전극(481, 482)은 보트 지지대(218)의 상부 부근의 높이의 위치에서 버퍼실(423) 내에 삽입되고, 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분)의 높이의 위치보다 하측의 위치에서는, 반응관(203)의 외측에 설치되어 있으므로, 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분)의 높이의 위치보다 하측의 위치에서의 방전을 억제할 수 있다. 또한, 막대 형상 전극[482(481, 471, 472)]의 곡부(490)의 곡률보다도, 곡부(491)의 곡률의 쪽이 크다.
(제11 실시 형태)
도 39, 도 40을 참조하여 본 실시 형태를 설명한다.
제9 실시 형태에서는, 막대 형상 전극(471, 472, 481, 482)의 굵기는 높이에 상관없이 동일하지만, 본 실시 형태에서는, 막대 형상 전극(471, 472, 481, 482)은 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분) 높이의 위치로부터 하측에서는, 보트 지지대(218)의 상부 부근보다 상측보다도 가늘게 되어 있는 점이 제9 실시 형태와 다르지만, 다른 점은 동일하다. 막대 형상 전극(471, 472, 481, 482)을 가늘게 함으로써, 에너지가 약해지고, 보트 지지대(218)의 상부 부근(제품 웨이퍼가 탑재되는 최하단보다 조금 아래의 부분)의 높이의 위치보다 하측의 위치에서의 방전을 억제할 수 있어, 에너지 소비를 억제할 수 있다.
(제12 실시 형태)
도 41, 도 42를 참조하여 본 실시 형태를 설명한다.
상술한 제9 실시 형태에서는, 주로, 막대 형상 전극(471), 막대 형상 전극(472), 전극 보호관(451), 전극 보호관(452), 버퍼실(423) 및 가스 공급 구멍(425)에 의해 구성되는 제1 플라즈마 발생 구조(429)와, 주로, 막대 형상 전극(481), 막대 형상 전극(482), 전극 보호관(461), 전극 보호관(462), 버퍼실(433) 및 가스 공급 구멍(435)에 의해 구성되는 제2 플라즈마 발생 구조(439)는 반응관(203)의 내측에 설치하였지만, 본 실시 형태에서는 플라즈마 발생 구조(820, 830)를 반응관(203)의 외측으로 돌출시켜 설치하는 점이 제9 실시 형태와 다르지만, 다른 점은 동일하다. 또한, 플라즈마 발생 구조(820, 830)는 제6 실시 형태의 플라즈마 발생 구조(820, 830)와 동일한 구조이다.
본 실시 형태의 플라즈마 발생 구조(820, 830)에 의해서도, 리모트 플라즈마가 생성된다. 즉, 플라즈마 발생 구조(820, 830)에서 발생한 라디칼이 처리실(201) 내의 웨이퍼(200)의 전체면에 도달할 때까지 실활하지 않고, 또한 플라즈마 발생 구조(820, 830)에서 발생한 이온이 처리실 내의 웨이퍼(200)에 데미지를 부여할 정도로는 도달하지 않는다.
본 실시 형태와 같이, 플라즈마 발생 구조(820, 830)를 반응관(203)의 외부에 돌출시켜 설치하면, 제1 실시 형태와 같이, 버퍼실(423, 433)을 반응관(203)의 내부에 설치한 경우와 비교하여, 웨이퍼(200)의 외주와 반응관(203)의 내주면의 거리를 보다 가깝게 할 수 있다.
본 실시 형태에서는, 주로, 플라즈마 형성 전극(473, 474), 정합기(271), 고주파 전원(270)에 의해 구성되는 제1 플라스마원과, 주로, 플라즈마 형성 전극(483, 484), 정합기(271), 고주파 전원(270)에 의해 구성되는 제2 플라스마원을 구비하고 있으므로, 플라스마원이 1개인 경우에 비해, 각 플라스마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 부여하는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다.
또한, 주로, 플라즈마 형성 전극(473, 474), 플라즈마 형성실벽(428), 플라즈마 형성실(821), 개구(822), 노즐(426) 및 가스 공급 구멍(427)에 의해 구성되는 제1 플라즈마 발생 구조(820)와, 주로, 플라즈마 형성 전극(483, 484), 플라즈마 형성실벽(438), 플라즈마 형성실(831), 개구(832), 노즐(436) 및 가스 공급 구멍(437)에 의해 구성되는 제2 플라즈마 발생 구조(830)는 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선에 대해 선 대칭으로 설치되어 있으므로, 양 플라즈마 발생 구조로부터 웨이퍼(200)의 전체면에 의해 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 배기구(230)도 이 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선 상에 형성되어 있으므로, 웨이퍼(200)의 전체면에 의해 균일하게 플라즈마가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다. 또한, 노즐(410)의 가스 공급 구멍(411)도 이 웨이퍼(200)의 중심[반응관(203)의 중심]을 지나는 선 상에 형성되어 있으므로, 웨이퍼(200)의 전체면에 의해 균일하게 원료 가스가 공급되기 쉬워져, 웨이퍼(200) 상에 보다 균일한 막을 형성할 수 있다.
또한, 상기 제9 내지 제12 실시 형태에서는, 액체 원료를 기화하는 데, 기화기(315)를 이용하였지만, 기화기 대신에 버블러를 이용해도 된다.
또한, 상기 각 실시 형태에서는 ALD법을 이용하는 경우를 예로 들어 설명하였지만, CVD법을 이용하는 경우라도, 복수의 플라스마원을 구비함으로써, 고주파 전력을 분산할 수 있고, 플라스마원이 1개인 경우에 비해, 각 플라스마원에 공급하는 고주파 전력이 작아도, 충분한 양의 플라즈마를 발생시킬 수 있다. 따라서, 플라즈마를 이용하여 웨이퍼(200)를 처리할 때에 웨이퍼(200)나 형성하는 막에 부여하는 데미지를 작게 할 수 있고, 게다가 웨이퍼(200)의 처리 온도를 낮게 할 수 있다. 또한, 파티클의 발생을 억제할 수 있다.
상기 각 실시 형태에서는 CCP(Capacitively Coupled Plasma) 방식의 고주파 전원을 이용하였지만, ICP(Inductively Coupled Plasma) 방식의 고주파 전원을 이용해도, 동일한 효과가 얻어진다.
또한, 상기 실시 형태에서는 캐리어 가스로서, N2(질소)를 이용하였지만, 질소 대신에, He(헬륨), Ne(네온), Ar(아르곤) 등을 이용해도 된다.
(본 발명의 바람직한 형태)
이하에, 본 발명의 바람직한 형태에 대해 부기한다.
(부기 1)
본 발명의 바람직한 일 형태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 갖는 1개 이상의 버퍼실과,
제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와,
제2 처리 가스를 상기 1개 이상의 버퍼실에 공급 가능한 제2 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 버퍼실의 내부에서 상기 제2 처리 가스를 활성화시키는 플라즈마 발생용 전극과,
표면에 금속막이 형성된 기판을, 상기 전극에 고주파 전력이 인가되지 않는 상태에서, 상기 제1 처리 가스 및 상기 제2 처리 가스에 노출시켜 상기 금속막 상에 제1 막을 형성한 후, 상기 제1 막이 형성된 기판을, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 활성화된 상기 제2 처리 가스에 노출시키고, 상기 금속막 상에 제2 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원 및 상기 제2 처리 가스 공급계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 2)
본 발명의 바람직한 다른 형태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 갖는 1개 이상의 버퍼실과,
제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와,
제2 처리 가스를 상기 1개 이상의 버퍼실에 공급 가능한 제2 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 버퍼실의 내부에서 상기 제2 처리 가스를 활성화시키는 플라즈마 발생용 전극과,
표면에 금속막이 형성된 기판을, 상기 제1 처리 가스에 노출시킨 후, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 활성화된 상기 제2 처리 가스에 노출시키고, 상기 금속막 상에 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원 및 상기 제2 처리 가스 공급계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 3)
부기 1 또는 2의 기판 처리 장치이며, 바람직하게는 상기 처리실을 배기하는 배기계를 더 구비하고,
상기 제어 수단은 상기 제1 처리 가스와 상기 활성화된 제2 처리 가스를 서로 혼합하지 않도록 상기 처리실로 교대로 공급하여 상기 금속막 상에 상기 막을 형성하도록, 상기 제1 처리 가스 공급계, 상기 전원 및 상기 제2 처리 가스 공급계를 제어하는 제어 수단이다.
(부기 4)
부기 1 내지 3 중 어느 하나의 기판 처리 장치이며, 바람직하게는 상기 금속막은 GST막이다.
(부기 5)
부기 4의 기판 처리 장치이며, 바람직하게는, 상기 제1 처리 가스는 DCS이고, 상기 제2 처리 가스는 NH3이다.
(부기 6)
부기 1 내지 5 중 어느 하나의 기판 처리 장치이며, 바람직하게는, 상기 버퍼실을 복수 구비한다.
(부기 7)
부기 5의 기판 처리 장치이며, 바람직하게는 상기 기판을 가열하는 가열계를 더 구비하고,
표면에 금속막이 형성된 기판을, DCS의 자기 분해 온도 이하로 가열하고, 상기 제1 처리 가스에 노출시킨 후, DCS의 자기 분해 온도 이하로 가열하고, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 활성화된 상기 제2 처리 가스에 노출시키고, 상기 금속막 상에 막을 형성하도록, 상기 제어 수단에 의해 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계 및 상기 가열계를 제어한다.
(부기 8)
본 발명의 바람직한 또 다른 형태에 따르면,
표면에 금속막이 형성된 기판을 처리실로 반입하는 공정과,
서로 독립된 복수의 처리 가스 공급계로부터, 제1 처리 가스 및 플라즈마 여기에 의해 활성화되지 않는 상태의 제2 처리 가스를 각각 상기 처리실에 공급하여, 상기 기판을 전처리하는 전처리 공정과,
상기 복수의 처리 가스 공급계로부터 상기 제1 처리 가스 및 플라즈마 여기에 의해 활성화된 상태의 상기 제2 처리 가스를 각각 상기 처리실에 공급하여, 상기 전처리된 기판 상에 소정의 막을 형성하는 성막 공정과,
상기 소정의 막이 형성된 기판을 상기 처리실로부터 반출하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 9)
본 발명의 바람직한 또 다른 형태에 따르면,
표면에 금속막이 형성된 기판을 처리실로 반입하는 공정과,
서로 독립된 복수의 처리 가스 공급계로부터, 제1 처리 가스를 상기 처리실에 공급하여, 상기 기판을 전처리하는 전처리 공정과,
상기 복수의 처리 가스 공급계로부터 상기 제1 처리 가스 및 플라즈마 여기에 의해 활성화된 상태의 상기 제2 처리 가스를 각각 상기 처리실에 공급하여, 상기 전처리된 기판 상에 소정의 막을 형성하는 성막 공정과,
상기 소정의 막이 형성된 기판을 상기 처리실로부터 반출하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 10)
부기 8 또는 9의 반도체 장치의 제조 방법이며, 바람직하게는, 상기 성막 공정은 상기 복수의 처리 가스 공급계로부터 상기 제1 처리 가스와 상기 활성화된 제2 처리 가스를 서로 혼합하지 않도록 상기 처리실로 교대로 공급하여 상기 전처리된 기판 상에 소정의 막을 형성하는 성막 공정이다.
(부기 11)
부기 8 내지 10 중 어느 하나의 반도체 장치의 제조 방법이며, 바람직하게는, 상기 금속막은 GST막이다.
(부기 12)
부기 11의 반도체 장치의 제조 방법이며, 바람직하게는, 상기 제1 처리 가스는 DCS이고, 상기 제2 처리 가스는 NH3이다.
(부기 13)
부기 12의 반도체 장치의 제조 방법이며, 바람직하게는 DCS의 자기 분해 온도 이하로 가열하여, 상기 전처리 공정 및 상기 성막 공정을 행한다.
(부기 14)
본 발명의 바람직한 또 다른 형태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 각각 갖는 복수의 버퍼실과,
제1 처리 가스를 상기 처리실에 공급하는 제1 처리 가스 공급계와,
제2 처리 가스를 상기 복수의 버퍼실에 각각 공급 가능한 제2 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 복수의 버퍼실의 각각의 내부에서 상기 제2 처리 가스를 활성화시키는 플라즈마 발생용 전극과,
상기 기판을 가열하는 가열계와,
표면에 금속막이 형성된 기판을, 상기 제1 처리 가스 및 상기 전극에 고주파 전력이 인가됨으로써 상기 복수의 버퍼실의 내부에서 활성화되어, 상기 복수의 버퍼실로부터 상기 처리실로 공급된 상기 제2 처리 가스에 노출시키고, 상기 기판을 상기 제1 처리 가스의 자기 분해 온도 이하로 가열하면서 상기 금속막 상에 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계 및 상기 가열계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 15)
부기 14의 기판 처리 장치이며, 바람직하게는,
상기 제어 수단은 상기 제1 처리 가스와 상기 활성화된 제2 처리 가스를 서로 혼합하지 않도록 상기 처리실로 교대로 공급하여 상기 금속막 상에 상기 막을 형성하도록, 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계 및 상기 가열계를 제어하는 제어 수단이다.
(부기 16)
부기 15의 기판 처리 장치이며, 바람직하게는 상기 처리실을 배기하는 배기계를 더 구비하고,
상기 제어 수단은 상기 제1 처리 가스와 상기 활성화된 제2 처리 가스를 서로 혼합하지 않도록 상기 처리실로 교대로 공급하여 상기 금속막 상에 상기 막을 형성하도록, 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계, 상기 가열계 및 상기 배기계를 제어하는 제어 수단이다.
(부기 17)
부기 13 내지 15 중 어느 하나의 기판 처리 장치이며, 바람직하게는, 상기 금속막은 GST막이다.
(부기 18)
부기 16의 기판 처리 장치이며, 바람직하게는, 상기 제1 처리 가스는 DCS이고, 상기 제2 처리 가스는 NH3이다,
(부기 19)
본 발명의 바람직한 또 다른 형태에 따르면,
표면에 금속막이 형성된 기판을 처리실로 반입하는 공정과,
표면에 금속막이 형성된 기판을, 제1 처리 가스 및 복수의 플라즈마 발생 구조에서 활성화되어, 상기 복수의 플라즈마 발생 구조로부터 상기 처리실로 공급된 제2 처리 가스에 노출시키고, 상기 기판을 상기 제1 처리 가스의 자기 분해 온도 이하로 가열하면서 상기 금속막 상에 막을 형성하는 공정과,
상기 막이 형성된 기판을 상기 처리실로부터 반출하는 공정을 구비하는 반도체 장치의 제조 방법이 제공된다.
(부기 20)
부기 19의 반도체 장치의 제조 방법이며, 바람직하게는, 상기 금속막은 GST막이다.
(부기 21)
부기 20의 반도체 장치의 제조 방법이며, 바람직하게는, 상기 제1 처리 가스는 DCS이고, 상기 제2 처리 가스는 NH3이다.
(부기 22)
본 발명의 바람직한 또 다른 형태에 따르면, 부기 8 내지 13 및 19 내지 21 중 어느 하나의 반도체 장치의 제조 방법을 이용하여 형성된 반도체 장치가 제공된다.
(부기 23)
본 발명의 바람직한 또 다른 형태에 따르면,
컴퓨터를, 서로 독립된 복수의 처리 가스 공급계 및 플라즈마 여기 수단을 제어하고, 제1 처리 가스 및 플라즈마 여기에 의해 활성화되지 않은 상태의 제2 처리 가스를 각각 처리실에 공급하여, 기판을 전처리하고, 그 후, 상기 복수의 처리 가스 공급계로부터 상기 제1 처리 가스 및 플라즈마 여기에 의해 활성화된 상태의 상기 제2 처리 가스를 각각 처리실에 공급하여, 상기 전처리된 기판 상에 소정의 막을 형성하도록 제어하는, 제어 수단으로서 기능시키는 프로그램이 제공된다.
(부기 24)
본 발명의 바람직한 또 다른 형태에 따르면,
컴퓨터를, 서로 독립된 복수의 처리 가스 공급계 및 플라즈마 여기 수단을 제어하고, 제1 처리 가스를 처리실에 공급하여, 기판을 전처리하고, 그 후, 상기 복수의 처리 가스 공급계로부터 상기 제1 처리 가스 및 플라즈마 여기에 의해 활성화된 상태의 상기 제2 처리 가스를 각각 처리실에 공급하여, 상기 전처리된 기판 상에 소정의 막을 형성하도록 제어하는, 제어 수단으로서 기능시키는 프로그램이 제공된다.
(부기 25)
본 발명의 바람직한 또 다른 형태에 따르면,
컴퓨터를, 서로 독립된 복수의 처리 가스 공급계, 기판을 가열하는 가열계 및 복수의 플라즈마 발생 구조를 제어하고, 표면에 금속막이 형성된 기판을, 제1 처리 가스 및 복수의 플라즈마 발생 구조에서 활성화되어, 상기 복수의 플라즈마 발생 구조로부터 상기 처리실로 공급된 제2 처리 가스에 노출시키고, 상기 기판을 상기 제1 처리 가스의 자기 분해 온도 이하로 가열하면서 상기 금속막 상에 막을 형성하도록 제어하는, 제어 수단으로서 기능시키는 프로그램이 제공된다.
(부기 26)
본 발명의 바람직한 또 다른 형태에 따르면, 부기 23 내지 25 중 어느 하나의 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
(부기 27)
본 발명의 바람직한 또 다른 형태에 따르면, 부기 26의 기록 매체를 구비하는 기판 처리 장치가 제공된다.
(부기 28)
본 발명의 바람직한 또 다른 형태에 따르면,
투입하는 고주파 전력의 단위 면적당의 투입 전력 밀도를 감소시킴으로써, 350℃ 이하의 온도에서, 아몰퍼스 실리콘 질화막을 금속막 상에 밀착성 좋게 형성하는 기판 처리 장치가 제공된다.
(부기 29)
본 발명의 바람직한 또 다른 형태에 따르면,
고주파 전력을 인가하는 버퍼실을 2개 이상 배치한 처리실에서,
투입하는 고주파 전력을 상기 2개 이상의 버퍼실로 분산 공급함으로써,
350℃ 이하의 온도에서 아몰퍼스 실리콘 질화막을 금속막 상에 밀착성 좋게 형성하는 기판 처리 장치가 제공된다.
(부기 30)
본 발명의 바람직한 또 다른 형태에 따르면,
350℃ 이하의 온도에서, 금속막 상으로,
DCS 조사와 고주파 전력의 인가가 없는 NH3 조사를 적어도 1회 이상 반복하여 실시하고,
그 후, DCS 조사와 고주파 전력의 인가가 있는 NH3 조사를 적어도 1회 이상 반복하여 실시함으로써, 아몰퍼스 실리콘 질화막을 금속막 상에 밀착성 좋게 형성하는 기판 처리 장치가 제공된다.
(부기 31)
본 발명의 바람직한 또 다른 형태에 따르면,
350℃ 이하의 온도에서, 금속막 상으로, DCS 조사를 실시하고,
그 후, DCS 조사와 고주파 전력의 인가가 있는 NH3 조사를 반복하여 실시함으로써, 아몰퍼스 실리콘 질화막을 금속막 상에 밀착성 좋게 형성하는 기판 처리 장치가 제공된다.
(부기 32)
본 발명의 바람직한 일 형태에 따르면,
기판을 처리하는 처리실과,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 각각 갖는 복수의 버퍼실과,
제1 처리 가스를 상기 복수의 버퍼실에 각각 공급 가능한 제1 처리 가스 공급계와,
고주파 전력을 출력하는 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 버퍼실의 내부에서 상기 제1 처리 가스를 활성화시키는 플라즈마 발생용 전극과,
제2 처리 가스를 상기 처리실에 공급하는 제2 처리 가스 공급계와,
상기 처리실을 배기하는 배기계와,
기판을, 상기 활성화된 제1 처리 가스 및 상기 제2 처리 가스에 노출시키고, 상기 기판을 200℃ 이하로 가열하면서 상기 기판 상에 막을 형성하도록 상기 제1 처리 가스 공급계, 상기 전원, 상기 제2 처리 가스 공급계 및 상기 배기계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 33)
부기 32의 기판 처리 장치이며, 바람직하게는, 상기 처리실 및 상기 버퍼실은 반응관의 내부에 설치된다.
(부기 34)
부기 32 또는 33의 기판 처리 장치이며, 바람직하게는, 상기 전극은 상기 버퍼실 내에 설치된다.
(부기 35)
부기 32 또는 33의 기판 처리 장치이며, 바람직하게는, 상기 전극은 상기 버퍼실 외에 설치된다.
(부기 36)
부기 32 내지 35 중 어느 하나의 기판 처리 장치이며, 바람직하게는, 상기 제2 처리 가스는 활성화시키지 않고 이용한다.
(부기 37)
부기 32의 기판 처리 장치이며, 바람직하게는, 상기 제1 처리 가스는 산소 함유 가스이고, 제2 처리 가스는 실리콘 함유 가스이고, 상기 기판 상에 형성하는 막은 산화 실리콘막이다.
(부기 38)
부기 37의 기판 처리 장치이며, 바람직하게는, 상기 제1 처리 가스는 산소이고, 상기 제2 처리 가스는 BTBAS이다.
(부기 39)
부기 32의 기판 처리 장치이며, 바람직하게는 상기 기판을 100℃ 이하로 가열하면서 상기 막을 형성한다.
(부기 40)
부기 32의 기판 처리 장치이며, 바람직하게는,
상기 제2 처리 가스 공급계는 상기 처리실 내에 세워 설치되어 가스 공급구를 갖는 노즐에 접속되어, 상기 노즐을 통해 상기 가스 공급구로부터 상기 제2 처리 가스를 상기 처리실에 공급하고,
상기 배기계는 상기 처리실에 개방되는 배기구에 접속되고,
상기 노즐의 가스 공급구와 상기 배기구는 상기 기판을 사이에 두고 대향하는 위치에 형성된다.
(부기 41)
부기 40의 기판 처리 장치이며, 바람직하게는, 상기 복수의 버퍼실은 상기 복수의 버퍼실의 가스 공급구와 상기 노즐의 가스 공급구의 거리가 각각 실질적으로 동등하다.
(부기 42)
본 발명의 바람직한 다른 형태에 따르면,
고주파 전력이 전극에 인가되어 복수의 버퍼실 내에 발생한 플라즈마에 의해 활성화된 제1 처리 가스에, 레지스트 또는 아몰퍼스 카본의 패턴이 형성된 기판을 노출시키는 공정과,
플라즈마에 의해 활성화시키지 않고 제2 처리 가스에, 상기 기판을 노출시키는 공정을, 상기 기판을 200℃ 이하로 가열하면서 행함으로써, 상기 기판에 산화 실리콘막을 형성하는 반도체 장치의 제조 방법이 제공된다.
(부기 43)
본 발명의 바람직한 또 다른 형태에 따르면, 부기 11의 반도체 디바이스의 제조 방법을 이용하여 형성된 반도체 장치가 제공된다.
(부기 44)
본 발명의 바람직한 또 다른 형태에 따르면,
처리실 내에 설치된 2개 이상의 플라즈마 형성용 버퍼실과,
상기 2개 이상의 플라즈마 형성용 버퍼실에 고주파 전력을 분산하여 공급하는 고주파 전력 공급 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 45)
부기 13의 기판 처리 장치이며, 바람직하게는 처리실 하단의 전극 굵기를 가늘게 한 구조를 갖는 고주파 전극을 장비한다.
(부기 46)
본 발명의 바람직한 또 다른 형태에 따르면,
실리콘을 포함하는 제1 원료를 복수매의 기판으로 공급하는 공정과,
상기 제1 원료 및 그 부생성 가스를 일정 시간 배기 처리하는 공정과,
암모니아를 플라즈마 형성용 버퍼실로 공급하면서 플라즈마를 발생시켜, 암모니아 라디칼을 상기 복수매의 기판으로 공급하는 공정과,
잔류 가스를 일정 시간 배기 처리하는 공정을 구비하는 반도체 장치의 제조 방법이 제공된다.
(부기 47)
본 발명의 바람직한 또 다른 형태에 따르면,
실리콘을 포함하는 제1 원료를 복수매의 기판으로 공급하는 공정과,
상기 제1 원료 및 그 부생성 가스를 일정 시간 배기 처리하는 공정과,
산소를 플라즈마 형성용 버퍼실로 공급하면서 플라즈마를 발생시켜, 산소 라디칼을 상기 복수매의 기판으로 공급하는 공정과,
잔류 가스를 일정 시간 배기 처리하는 공정을 구비하는 반도체 장치의 제조 방법이 제공된다.
(부기 48)
본 발명의 바람직한 또 다른 형태에 따르면,
기판을 처리하는 처리실과,
상기 기판을 가열하는 가열 수단과,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 각각 갖는 복수의 플라즈마 형성실과,
제1 원료 가스를 상기 복수의 플라즈마 형성실에 각각 공급 가능한 제1 원료 가스 공급계와,
고주파 전력을 출력하는 고주파 전원과,
상기 전원에 의해 고주파 전력이 인가됨으로써, 상기 복수의 플라즈마 형성실의 내부에서 상기 제1 원료 가스를 각각 여기시키는 플라즈마 발생용 복수의 전극과,
제2 원료 가스를 상기 처리실에 공급하는 제2 원료 가스 공급계와,
상기 처리실을 배기하는 배기계와,
상기 기판을 200℃ 이하로 가열하면서, 상기 기판을, 상기 활성화된 제1 원료 가스 및 상기 제2 원료 가스에 노출시키고, 상기 기판 상에 막을 형성하도록, 상기 가열 수단, 상기 제1 원료 가스 공급계, 상기 고주파 전원, 상기 제2 원료 가스 공급계 및 상기 배기계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 49)
본 발명의 바람직한 또 다른 형태에 따르면,
기판을 처리하는 처리실과,
상기 처리실 내를 가열하는 가열 수단과,
상기 처리실 내의 온도를 검출하는 온도 검출 수단과,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 각각 갖는 복수의 플라즈마 형성실과,
제1 원료 가스를 상기 복수의 플라즈마 형성실에 각각 공급 가능한 제1 원료 가스 공급계이며, 상기 제1 원료 가스의 유량을 제어하는 제1 유량 제어 수단과, 상기 제1 원료 가스의 상기 복수의 플라즈마 형성실로의 공급을 제어하는 제1 밸브를 구비하는 상기 제1 원료 가스 공급계와,
고주파 전력을 출력하는 고주파 전원과,
상기 고주파 전원에 의해 고주파 전력이 인가됨으로써, 상기 복수의 플라즈마 형성실의 내부에서 상기 제1 원료 가스를 각각 여기시키는 플라즈마 발생용 복수의 전극과,
제2 원료 가스를 상기 처리실에 공급하는 제2 원료 가스 공급계이며, 상기 제2 원료 가스의 유량을 제어하는 제2 유량 제어 수단과, 상기 제2 원료 가스의 상기 처리실로의 공급을 제어하는 제2 밸브를 구비하는 상기 제2 원료 가스 공급계와,
상기 처리실을 배기하는 배기계와,
상기 온도 검출 수단에 의해 검출된 온도 정보에 기초하여, 상기 처리실 내의 온도를 200℃ 이하로 가열하도록 상기 가열 수단을 제어하고, 상기 복수의 전극에 소정량의 고주파 전력을 인가하도록 상기 고주파 전원을 제어하고, 상기 제1 원료 가스가 상기 복수의 플라즈마 형성실에 각각 소정량 공급되도록 상기 제1 유량 제어 수단 및 상기 제1 밸브를 제어하고, 상기 제2 원료 가스가 처리실에 소정량 공급되도록 상기 제2 유량 제어 수단 및 상기 제2 밸브를 제어하고, 상기 처리실이 소정의 배기량으로 배기되도록 상기 배기계를 제어하는 제어 수단을 구비하는 기판 처리 장치가 제공된다.
(부기 50)
본 발명의 바람직한 또 다른 형태에 따르면,
기판을 처리하는 처리실 내의 온도를 검출하는 온도 검출 수단에 의해 검출된 온도 정보에 기초하여, 상기 처리실 내를 가열하는 가열 수단을 제어하여 상기 처리실 내의 온도를 200℃ 이하로 가열하고,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 각각 갖는 복수의 플라즈마 형성실에, 제1 원료 가스를 각각 공급 가능한 제1 원료 가스 공급계를 제어하여 상기 제1 원료 가스를 상기 복수의 플라즈마 형성실에 각각 소정량 공급하고,
고주파 전력을 출력하는 고주파 전원을 제어하여, 상기 고주파 전원에 의해 고주파 전력이 인가됨으로써, 상기 복수의 플라즈마 형성실의 내부에서 상기 제1 원료 가스를 각각 여기시키는 플라즈마 발생용 복수의 전극에, 소정량의 고주파 전력을 인가하고,
제2 원료 가스를 상기 처리실에 공급하는 제2 원료 가스 공급계를 제어하여 상기 제2 원료 가스를 상기 처리실에 소정량 공급하고,
상기 처리실을 배기하는 배기계를 제어하여, 상기 처리실을 소정의 배기량으로 배기하고,
상기 기판을 200℃ 이하로 가열하면서, 상기 기판을, 활성화된 제1 원료 가스 및 상기 제2 원료 가스에 노출시키고, 상기 기판 상에 막을 형성하는 공정을 구비하는 반도체 장치의 제조 방법이 제공된다.
(부기 51)
본 발명의 바람직한 또 다른 형태에 따르면,
컴퓨터를,
기판을 처리하는 처리실 내의 온도를 검출하는 온도 검출 수단에 의해 검출된 온도 정보에 기초하여, 상기 처리실 내를 가열하는 가열 수단을 제어하여 상기 처리실 내의 온도를 200℃ 이하로 가열하고,
상기 처리실과 구획되어, 상기 처리실로 개방되는 가스 공급구를 각각 갖는 복수의 플라즈마 형성실에, 제1 원료 가스를 각각 공급 가능한 제1 원료 가스 공급계를 제어하여 상기 제1 원료 가스를 상기 복수의 플라즈마 형성실에 각각 소정량 공급하고,
고주파 전력을 출력하는 고주파 전원을 제어하여, 상기 고주파 전원에 의해 고주파 전력이 인가됨으로써, 상기 복수의 플라즈마 형성실의 내부에서 상기 제1 원료 가스를 각각 여기시키는 플라즈마 발생용 복수의 전극에, 소정량의 고주파 전력을 인가하고,
제2 원료 가스를 상기 처리실에 공급하는 제2 원료 가스 공급계를 제어하여 상기 제2 원료 가스를 상기 처리실에 소정량 공급하고,
상기 처리실을 배기하는 배기계를 제어하여, 상기 처리실을 소정의 배기량으로 배기하도록 제어하는, 제어 수단으로서 기능시키는 프로그램이 제공된다.
(부기 52)
본 발명의 바람직한 또 다른 형태에 따르면, 부기 51의 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
(부기 53)
본 발명의 바람직한 또 다른 형태에 따르면, 부기 52의 기록 매체를 구비하는 기판 처리 장치가 제공된다.
이상, 본 발명의 다양한 전형적인 실시 형태를 설명하였지만, 본 발명은 그들의 실시 형태로 한정되지 않는다. 따라서, 본 발명의 범위는 다음의 특허청구의 범위에 의해서만 한정되는 것이다.
101 : 기판 처리 장치
105 : 카세트 선반
107 : 예비 카세트 선반
110 : 카세트
111 : 케이스
114 : 카세트 스테이지
115 : 보트 엘리베이터
118 : 카세트 반송 장치
118a : 카세트 엘리베이터
118b : 카세트 반송 기구
123 : 이동 탑재 선반
125 : 웨이퍼 이동 탑재 기구
125a : 웨이퍼 이동 탑재 장치
125b : 웨이퍼 이동 탑재 장치 엘리베이터
125c : 트위저
128 : 아암
134a : 크린 유닛
134b : 크린 유닛
147 : 노구 셔터
200 : 웨이퍼
201 : 처리실
202 : 처리로
203 : 반응관
204, 205, 206, 207 : 관통 구멍
207 : 히터
210 : 바닥판
211 : 상부판
212 : 지주
217 : 보트
218 : 보트 지지대
219 : 시일 캡
220 : O링
230 : 배기구
231 : 배기관
232 : 배기관
243 : APC 밸브
245 : 압력 센서
246 : 진공 펌프
250 : 가열용 전원
263 : 온도 센서
265 : 회전축
267 : 보트 회전 기구
270 : 고주파 전원
271 : 정합기
272 : 어스
280 : 컨트롤러
281 : CPU
282 : ROM
283 : RAM
284 : HDD
285, 293, 296 : I/F부
286 : 버스
287 : 디스플레이 드라이버
288 : 디스플레이
289 : 조작 입력 검출부
290 : 조작 입력부
291 : 온도 제어부
292 : 히터 제어부
294 : 압력 제어부
295 : APC 밸브 제어부
297 : 전자기 밸브
298 : 전자기 밸브군
299 : 밸브 제어부
301, 302, 303 : 가스 공급계
310, 320, 330, 330' : 가스 공급관
312, 322, 332, 512, 522, 532 : 매스 플로우 컨트롤러
313, 314, 317, 323, 333, 513, 523, 533, 612, 622, 632 : 밸브
315 : 가스 저장소
316 : 액체 매스 플로우 컨트롤러
318 : 기화기
401, 404 : 부착판
402, 403, 405, 406 : 구멍
410, 420, 430, 430', 426, 436 : 노즐
411, 421, 431, 431', 427, 437 : 가스 공급 구멍
423, 433, 433' : 버퍼실
424, 434, 434' : 버퍼실벽
425, 435, 435' : 가스 공급 구멍
428, 438 : 플라즈마 형성벽
429, 439, 439' : 플라즈마 발생 구조
428a, 428b, 438a, 438b : 측벽
451, 452, 461, 462, 461', 462' : 전극 보호관
471, 472, 481, 482, 481', 482', 481a, 482a : 막대 형상 전극
473, 474, 483, 484 : 전극
475, 476, 485, 486 : 전극 커버
501, 502, 503 : 캐리어 가스 공급계(불활성 가스 공급계)
510, 520, 530 : 캐리어 가스 공급관
610, 620, 630 : 벤트 라인
820, 830 : 플라즈마 발생 구조
821, 831 : 플라즈마 형성실
822, 832 : 개구

Claims (16)

  1. 처리실 내의 기판에 대해 제1 처리 가스를 공급하는 것으로서 상기 기판의 표면을 전 처리하는 공정과,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 제2 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 전 처리가 행해진 상기 기판의 표면 상에 막을 형성하는 공정
    을 갖는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 막을 형성하는 공정에서는, 상기 제1 처리 가스를 공급하는 공정과 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 공정을 번갈아 행하는 반도체 장치의 제조 방법.
  3. 처리실 내의 기판에 대해 제1 처리 가스를 공급하는 공정과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시키지 않은 제2 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 기판의 표면을 전 처리하는 공정과,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 공정과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 전 처리가 행해진 상기 기판의 표면 상에 막을 형성하는 공정
    을 갖는 반도체 장치의 제조 방법.
  4. 제3항에 있어서,
    상기 전처리 공정에서는 상기 제1 처리 가스를 공급하는 공정과 플라즈마 여기시키지 않은 상기 제2 처리 가스를 공급하는 공정을 번갈아 행하고,
    상기 막을 형성하는 공정에서는 상기 제1 처리 가스를 공급하는 공정과 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 공정을 번갈아 행하는 반도체 장치의 제조 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 기판의 표면에는 금속 함유 막이 형성되고, 상기 막을 형성하는 공정에서는 상기 금속 함유막 상에 상기 막을 형성하는 반도체 장치의 제조 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 기판의 표면에는 GeSbTe, Ti, TiN, TiSi, W, WN, WSi, Co, CoSi, Al, AlSi, Cu및 그것들의 합금 중 적어도 어느 하나를 포함한 막이 형성되고, 상기 막을 형성하는 공정은 GeSbTe, Ti, TiN, TiSi, W, WN, WSi, Co, CoSi, Al, AlSi, Cu 및 그것들의 합금 중 적어도 하나를 포함한 막 상에, 상기 막을 형성하는 반도체 장치의 제조 방법.
  7. 처리실 내의 기판에 대해 제1 처리 가스를 공급하는 공정과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시키지 않은 제2 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 기판 상에 제1 막을 형성하는 공정과,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 공정과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 제1 막 상에 제2 막을 형성하는 공정
    을 갖는 반도체 장치의 제조 방법.
  8. 제7항에 있어서,
    상기 제1 막을 형성하는 공정에서는, 상기 제1 처리 가스를 공급하는 공정과 플라즈마 여기시키지 않은 상기 제2 처리 가스를 공급하는 공정을 번갈아 행하고,
    상기 제2 막을 형성하는 공정에서는, 상기 제1 처리 가스를 공급하는 공정과 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 공정을 번갈아 행하는 반도체 장치의 제조 방법.
  9. 제7항 또는 제8항에 있어서,
    상기 기판의 표면에는 금속 함유 막이 형성되고, 상기 제1 막을 형성하는 공정에서는, 상기 금속 함유막 상에 상기 제1 막을 형성하는 반도체 장치의 제조 방법.
  10. 제7항 또는 제8항에 있어서,
    상기 기판의 표면에는 GeSbTe, Ti, TiN, TiSi, W, WN, WSi, Co, CoSi, Al, AlSi, Cu 및 그것들의 합금 중 적어도 하나를 포함한 막이 형성되고, 상기 막을 형성하는 공정은 GeSbTe, Ti, TiN, TiSi, W, WN, WSi, Co, CoSi, Al, AlSi, Cu 및 그것들의 합금 중 적어도 하나를 포함한 막 상에, 상기 막을 형성하는 반도체 장치의 제조 방법.
  11. 기판을 처리하는 처리실과,
    상기 처리실 내로 제1 처리 가스를 공급하는 제1 처리 가스 공급 설비와,
    상기 처리실 내로 제2 처리 가스를 공급하는 제2 처리 가스 공급 설비와,
    가스를 플라즈마 여기시키는 플라즈마 여기 수단과,
    상기 처리실 내의 기판에 대해 상기 제1 처리 가스를 공급하는 것으로서 상기 기판의 표면을 전 처리하는 처리와,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 전 처리가 행해진 상기 기판의 표면 상에 막을 형성하는 처리
    를 하도록, 상기 제1 처리 가스 공급 설비, 상기 제2 처리 가스 공급 설비 및 상기 플라즈마 여기 수단을 제어하도록 구성되는 컨트롤러
    를 갖는 기판 처리 장치.
  12. 기판을 처리하는 처리실과,
    상기 처리실 내로 제1 처리 가스를 공급하는 제1 처리 가스 공급 설비와,
    상기 처리실 내로 제2 처리 가스를 공급하는 제2 처리 가스 공급 설비와,
    가스를 플라즈마 여기시키는 플라즈마 여기 수단과,
    상기 처리실 내의 기판에 대해 상기 제1 처리 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시키지 않은 상기 제2 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 기판의 표면을 전 처리하는 처리와,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 전 처리가 행해진 상기 기판의 표면 상에 막을 형성하는 처리
    를 하도록, 상기 제1 처리 가스 공급 설비, 상기 제2 처리 가스 공급 설비 및 상기 플라즈마 여기 수단을 제어하도록 구성되는 컨트롤러
    를 갖는 기판 처리 장치.
  13. 기판을 처리하는 처리실과,
    상기 처리실 내로 제1 처리 가스를 공급하는 제1 처리 가스 공급 설비와,
    상기 처리실 내로 제2 처리 가스를 공급하는 제2 처리 가스 공급 설비와,
    가스를 플라즈마 여기시키는 플라즈마 여기 수단과,
    상기 처리실 내의 기판에 대해 상기 제1 처리 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시키지 않은 상기 제2 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 기판 상에 제1 막을 형성하는 처리와,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 처리를 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 제1막 상에 제2 막을 형성하는 처리
    를 하도록, 상기 제1 처리 가스 공급 설비, 상기 제2 처리 가스 공급 설비 및 상기 플라즈마 여기 수단을 제어하도록 구성되는 컨트롤러
    를 갖는 기판 처리 장치.
  14. 처리실 내의 기판에 대해 제1 처리 가스를 공급하는 것으로서, 상기 기판의 표면을 전 처리하는 수순과,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 수순과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 제2 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행하는 것으로서, 상기 전 처리가 행해진 상기 기판의 표면 상에 막을 형성하는 수순
    을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독가능 기록 매체.
  15. 처리실 내의 기판에 대해 제1 처리 가스를 공급하는 수순과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시키지 않은 제2 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 기판의 표면을 전 처리하는 수순과,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 수순과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행하는 것으로서, 상기 전 처리가 행해진 상기 기판의 표면 상에 막을 형성하는 수순
    을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독가능 기록 매체.
  16. 처리실 내의 기판에 대해 제1처리 가스를 공급하는 수순과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시키지 않은 제2 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행하는 것으로서 상기 기판 상에 제1 막을 형성하는 수순과,
    상기 처리실 내의 상기 기판에 대해 상기 제1 처리 가스를 공급하는 수순과 상기 처리실 내의 상기 기판에 대해 플라즈마 여기시킨 상기 제2 처리 가스를 공급하는 수순을 포함하는 사이클을 소정 횟수 행하는 것으로서, 상기 제1막 상에 제2 막을 형성하는 수순
    을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독가능 기록 매체.
KR1020130130222A 2010-10-26 2013-10-30 기판 처리 장치 및 반도체 장치의 제조 방법 KR101369615B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010240067A JP5743488B2 (ja) 2010-10-26 2010-10-26 基板処理装置および半導体装置の製造方法
JPJP-P-2010-240067 2010-10-26
JPJP-P-2010-263626 2010-11-26
JP2010263626A JP5718031B2 (ja) 2010-11-26 2010-11-26 基板処理装置および半導体装置の製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020110092573A Division KR101369600B1 (ko) 2010-10-26 2011-09-14 기판 처리 장치 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20130129872A KR20130129872A (ko) 2013-11-29
KR101369615B1 true KR101369615B1 (ko) 2014-03-03

Family

ID=45973391

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020110092573A KR101369600B1 (ko) 2010-10-26 2011-09-14 기판 처리 장치 및 반도체 장치의 제조 방법
KR1020130130222A KR101369615B1 (ko) 2010-10-26 2013-10-30 기판 처리 장치 및 반도체 장치의 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020110092573A KR101369600B1 (ko) 2010-10-26 2011-09-14 기판 처리 장치 및 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (3) US9175395B2 (ko)
KR (2) KR101369600B1 (ko)
TW (2) TWI520177B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170100441A (ko) * 2016-02-25 2017-09-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
TWI520177B (zh) 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6061545B2 (ja) * 2012-08-10 2017-01-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
JP6091940B2 (ja) * 2013-03-11 2017-03-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
CN104715995A (zh) * 2013-12-17 2015-06-17 中微半导体设备(上海)有限公司 一种气体供应装置及其等离子体反应装置
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
TWI619826B (zh) * 2014-07-31 2018-04-01 愛發科股份有限公司 基板處理裝置及基板處理方法
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
JP6415215B2 (ja) * 2014-09-26 2018-10-31 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP6014807B2 (ja) * 2014-11-20 2016-10-26 株式会社プラズマイオンアシスト 燃料電池用セパレータ又は燃料電池用集電部材、及びその製造方法
JP6460874B2 (ja) * 2015-03-26 2019-01-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102241665B1 (ko) * 2015-09-04 2021-04-19 가부시키가이샤 코쿠사이 엘렉트릭 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
US10084040B2 (en) * 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
JP6462161B2 (ja) * 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10297439B2 (en) * 2016-02-25 2019-05-21 Tokyo Electron Limited Film forming method and film forming system
JP6652644B2 (ja) * 2016-07-21 2020-02-26 株式会社Kokusai Electric プラズマ生成装置、基板処理装置、半導体装置の製造方法及びプログラム
CN106756888B (zh) 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US11339477B2 (en) 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
CN106622824B (zh) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 一种等离子体聚合涂层装置
US10535538B2 (en) * 2017-01-26 2020-01-14 Gary Hillman System and method for heat treatment of substrates
CN117810127A (zh) 2017-02-23 2024-04-02 株式会社国际电气 基板处理装置、半导体装置的制造方法、基板处理方法、容器及存储介质
JP6778144B2 (ja) * 2017-04-25 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR102186964B1 (ko) 2017-09-22 2020-12-04 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
TWI676710B (zh) * 2017-09-28 2019-11-11 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體
JP6839672B2 (ja) 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20240017968A (ko) * 2018-03-22 2024-02-08 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP6856576B2 (ja) * 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102501650B1 (ko) * 2018-08-03 2023-02-21 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR102607181B1 (ko) * 2018-10-05 2023-11-29 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
KR20210078569A (ko) * 2018-11-16 2021-06-28 램 리써치 코포레이션 기포 결함 감소
JP6902060B2 (ja) * 2019-02-13 2021-07-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP6999596B2 (ja) * 2019-03-25 2022-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN112017936B (zh) * 2019-05-28 2024-05-31 东京毅力科创株式会社 等离子体处理装置
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
JP7433154B2 (ja) * 2020-07-16 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2023159475A (ja) * 2020-09-10 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP7271485B2 (ja) * 2020-09-23 2023-05-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2024042235A (ja) * 2022-09-15 2024-03-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
KR20090004576A (ko) * 2007-06-28 2009-01-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
EP0637063B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
JPH0950992A (ja) 1995-08-04 1997-02-18 Sharp Corp 成膜装置
JPH0955372A (ja) 1995-08-11 1997-02-25 Nippon Steel Corp プラズマ処理装置
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
JP4045689B2 (ja) * 1999-04-14 2008-02-13 東京エレクトロン株式会社 熱処理装置
US6365518B1 (en) * 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030164143A1 (en) 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
JP3957549B2 (ja) 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
KR100829327B1 (ko) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
JP3666751B2 (ja) * 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
JP2008112826A (ja) 2006-10-30 2008-05-15 Elpida Memory Inc 半導体装置の製造方法
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090004877A1 (en) 2007-06-28 2009-01-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
KR20100069629A (ko) * 2007-10-22 2010-06-24 나노마테리얼 레버러토리 코., 엘티디. 반도체 제조 장치, 반도체 제조 방법 및 전자 기기
JP2009209447A (ja) * 2008-02-04 2009-09-17 Hitachi Kokusai Electric Inc 基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5275093B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
TWI520177B (zh) 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
KR20090004576A (ko) * 2007-06-28 2009-01-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170100441A (ko) * 2016-02-25 2017-09-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
KR102219786B1 (ko) 2016-02-25 2021-02-23 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템

Also Published As

Publication number Publication date
US9593422B2 (en) 2017-03-14
US9175395B2 (en) 2015-11-03
TWI520177B (zh) 2016-02-01
KR101369600B1 (ko) 2014-03-04
KR20130129872A (ko) 2013-11-29
US20150197854A1 (en) 2015-07-16
KR20120105339A (ko) 2012-09-25
US20120100722A1 (en) 2012-04-26
TWI562204B (en) 2016-12-11
US20150197855A1 (en) 2015-07-16
US9963785B2 (en) 2018-05-08
TW201428825A (zh) 2014-07-16
TW201222637A (en) 2012-06-01

Similar Documents

Publication Publication Date Title
KR101369615B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP5743488B2 (ja) 基板処理装置および半導体装置の製造方法
JP5882509B2 (ja) 基板処理装置および半導体装置の製造方法
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
JP5329265B2 (ja) 半導体装置の製造方法および基板処理装置
US8555808B2 (en) Substrate processing apparatus
US8251012B2 (en) Substrate processing apparatus and semiconductor device producing method
KR100860437B1 (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
US20090151632A1 (en) Substrate Processing Apparatus
JP5718031B2 (ja) 基板処理装置および半導体装置の製造方法
US11987876B2 (en) Chamfer-less via integration scheme
JP5963456B2 (ja) 半導体装置の製造方法、基板処理装置、及び基板処理方法
US20120108077A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
TWI660425B (zh) 基板處理裝置及基板處理方法(二)
TWI608536B (zh) Etching method and memory medium
JP2005064305A (ja) 基板処理装置及び半導体デバイスの製造方法
JP6186022B2 (ja) 基板処理装置および半導体装置の製造方法
JP2012049290A (ja) 半導体装置の製造方法及び半導体装置
JP2011176177A (ja) 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
JP4415005B2 (ja) 基板処理装置
JP2005167027A (ja) 基板処理装置
JP2005064538A (ja) 基板処理装置及び半導体デバイスの製造方法
JP2011035191A (ja) 基板処理装置
CN115917709A (zh) 薄膜形成方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 7