KR101168821B1 - 처리 챔버의 고효율 uv 클리닝 - Google Patents

처리 챔버의 고효율 uv 클리닝 Download PDF

Info

Publication number
KR101168821B1
KR101168821B1 KR1020107003394A KR20107003394A KR101168821B1 KR 101168821 B1 KR101168821 B1 KR 101168821B1 KR 1020107003394 A KR1020107003394 A KR 1020107003394A KR 20107003394 A KR20107003394 A KR 20107003394A KR 101168821 B1 KR101168821 B1 KR 101168821B1
Authority
KR
South Korea
Prior art keywords
curing chamber
housing
ultraviolet
ultraviolet light
curing
Prior art date
Application number
KR1020107003394A
Other languages
English (en)
Other versions
KR20100033431A (ko
Inventor
토마스 노워크
주안 칼로스 로차-알바레즈
안드르제제이 카스주바
스코트 에이. 헨드릭슨
더스틴 더블유. 호
산지브 발루자
톰 초
조세핀 창
히쳄 마'사아드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/124,908 external-priority patent/US20060251827A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100033431A publication Critical patent/KR20100033431A/ko
Application granted granted Critical
Publication of KR101168821B1 publication Critical patent/KR101168821B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

자외선(UV) 경화 챔버는 기판 상에 증착된 유전 물질을 경화하고 그것에 관하여 인시츄 클리닝을 할 수 있다. 직렬 처리 챔버는, 바디에 의해 한정되고 각각의 처리 영역 상에 배열된 전구 구분 윈도우를 갖는 리드에 의해 커버되는 2개의 구분되고 인접한 처리 영역을 갖는다. 리드에 의해 연결되는 하우징으로 커버되는 처리 영역마다 1개 이상의 UV 전구는 처리 영역 내에 위치한 기판 상의 윈도우를 통하도록 향하게 하여 UV광을 방출한다. UV 전구들은 발광 다이오드 어레이 또는 마이크로웨이브나 무선 주파수와 같은 공급원을 사용하는 전구일 수 있다. UV광은 경화 처리 동안 펄싱될 수 있다. 이격되어 생성되거나 및/또는 인시츄 생성된 산소 라디컬/오존을 사용하여 챔버 클리닝을 완결한다. 램프 어레이, 기판과 램프 헤드의 상대적 이동, 램프 반사기 형태 및/또는 위치의 실시간 수정을 사용하여 기판 조명의 균일성을 증진시킬 수 있다.

Description

처리 챔버의 고효율 UV 클리닝{HIGH EFFICIENCY UV CLEANING OF A PROCESS CHAMBER}
본 발명은 일반적으로 자외선 경화 챔버(ultraviolet cure chamber)에 관한 것이다. 보다 특정적으로, 본 발명의 실시예들은 기판 상의 유전 필름의 경화 처리를 위한 직렬 UV 챔버(tandem UV chamber) 및 직렬 챔버 내의 표면 클리닝 처리에 관한 것이다.
산화 규소(SiO), 탄화 규소(SiC) 및 탄소 도핑된 산화 규소(SiOC)는 반도체 장치의 제조에서 널리 사용된다. 반도체 기판 상에 규소 함유 필름을 형성하는 하나의 접근 방식은, 챔버 내에서 화학적 기상 증착(CVD)의 처리를 통한 것이다. 유기 규소(organosilicon) 공급 물질은 규소 함유 필름의 CVD 동안 종종 사용된다. 이러한 규소 공급 물질 내에 존재하는 탄소로 인하여, 탄소 포함 필름이 챔버 벽체 및 기판 상에 형성될 수 있다.
수분은 종종 유기 규소 화합물의 CVD 반응에 의한 부산물이며 습기로서 필름 내에 물리적으로 흡수될 수 있다. 기판 제조기 내측의 공기 내의 습기는 경화되지 않은 필름 내에서 습기의 또 다른 공급원이다. 후속하는 제조 처리를 위해 대기하는 동안, 수분 흡수에 대한 필름의 저항 능력은 안정적 필름 형성을 위해 중요하다. 습기는 안정적 필름의 일부가 아니며, 이후에 장치 작동 동안 유전 물질의 오류를 야기할 수 있다.
따라서, 물과 같이 바람직하지 않은 화학 결합 및 화합물은 증착되는 탄소 함유 필름으로부터 제거되는 것이 바람직하다. 보다 중요하게는, (CVD 동안 다공성을 증진시키도록 사용되는 다공성 생성 인자(porogens)로부터 초래되는) 열적으로 불안정한 희생 소재(sacrificial materials)의 유기성 부분(organic fragment)이 제거될 필요가 있다. CVD 산화 규소 필름의 후속 처리를 지원하기 위해 자외선 방사를 사용하는 것이 제안되었다. 예를 들어, Applied Materials, Inc.에 의한 미국 특허 제 6,566,278호 및 제 6,614,181호는 CVD 탄소 도핑된 산화 규소 필름의 후속 처리를 위한 UV광의 사용을 기재하고 있으며, 상기 특허는 그 전체적으로 본 발명에 통합된다.
따라서, 본 기술분야에서는 기판 상에 증착된 필름을 효과적으로 경화하는데 사용될 수 있는 UV 경화 챔버의 필요성이 존재한다. 더욱이, 처리량을 증진시킬 수 있고 최소한의 에너지를 소비하며, 그리고 챔버 자체 내의 표면의 인시츄 클리닝(in situ cleaning) 처리에 적합할 수 있는 UV 경화 챔버에 대한 필요성도 존재한다.
본 발명의 실시예들은, 일반적으로 기판 상에 증착된 유전 물질을 경화시키기 위한 자외선(UV) 경화 챔버에 관한 것이다. 일 실시예에서, 직렬(tandem) 처리 챔버는, 바디에 의해 한정되고 각각의 처리 영역 위에 각각 정렬되는 전구 구분 윈도우(bulb isolating window)를 갖는 리드(lid)에 의해 커버되는 2개의 독립되고 인접한 처리 영역을 제공한다. 전구 구분 윈도우는 하나 이상의 전구(bulb)들을 하나의 넓은 공통 체적 내에서 기판으로부터 격리시키기 위해 직렬 처리 챔버의 측면마다 하나의 윈도우를 구비하도록 구현되거나, 또는 전구 어레이의 각각의 전구가 기판 처리 환경과 직접 접촉하는 자신의 UV 투과 엔벨로프(UV transparent envelope) 내에 싸이도록 구현된다. 처리 영역마다 하나 이상의 UV 전구는 리드에 연결된 하우징으로 커버되어 윈도우를 통해 처리 영역 내에 위치한 기판을 향하는 UV광을 방출한다.
UV 전구는 제한되지 않은 실시예로서 마이크로웨이브 아크(microwave arc), 무선 주파수 필라멘트(radio frequency filament)(용량성 결합 플라스마) 또는 유도성 결합 플라스마(ICP; inductively coupled plasma) 램프를 포함하는 최신의 UV 조명 공급원(illumination source) 중 어느 하나를 이용하는 전구 또는 발광 다이오드의 어레이일 수 있다. 추가로, UV광은 경화 처리 동안 펄싱될 수 있다. 기판 조명의 균일성을 증진시키기 위한 다양한 개념(concept)으로는, 입사광의 파장 분포를 변화시키는데 사용될 수 있는 램프 어레이의 사용, 회전 및 주기적 병진 운동(스위핑(sweeping))과 같은 기판과 램프의 상대적 이동, 및 램프 반사기 형태 및/또는 위치의 실시간 수정 등이 있다.
경화 처리 동안 형성된 잔여물은 유기체/유기 규소일 수 있으며 산소 라디컬 및 오존 기초 클리닝을 사용하여 제거된다. 필요한 산소 라디컬의 제조는, 산소 라디컬이 경화 챔버에 전달되도록 원격적으로 이루어지거나, 인시츄로 생성되거나, 또는 이들 2개의 방식을 동시에 사용함으로써 이루어질 수 있다. 원격적으로 생성된 산소 라디컬은 산소 분자로 빠르게 다시 재결합하기 때문에, 원격 산소 기초 클리닝의 중요한 점은 원격적으로 오존을 생성하고 이러한 오존을 경화 챔버에 전달하고 여기에서 오존이 경화 챔버 내의 가열된 표면에 접촉하는 경우 산소 라디컬과 산소 분자로 해리되도록 하는 것이다. 결과적으로, 오존은 본질적으로 산소 라디컬을 경화 챔버 내에 전달하는 운송수단인 것이다. 원격 오존 클리닝의 2번째 이점은, 경화 챔버 내에서 해리되지 않은 오존이 특정 유기 잔여물을 재공격할 수 있어서 산소 라디컬 클리닝을 증진시킨다는 점이다. 오존을 원격적으로 생성하는 방법은 현존하는 어떠한 오존 생성 기술을 사용하여서도 이루어질 수 있으며, 이러한 오존 생성 기술로는 유전 배리어/코로나 방출(dielectric barrier/corrona discharge)(예를 들어, Applied Materials Ozonator) 또는 UV-작용 반응기(UV-activated reactor) 등이 있으나 이에 제한되는 것은 아니다. 일 실시예에 따르면, 유전 물질을 경화하기 위해 사용되는 UV 전구 및/또는 이격되어 위치할 수 있는 UV 전구(들)이 오존을 생성하도록 사용될 수 있다.
본 발명의 전술한 특징을 보다 상세히 이해하고, 전술된 요약된 본 발명의 특징을 보다 상세히 설명하도록, 첨부된 도면을 참조하여 설명한다. 하지만, 첨부된 도면은 오직 본 발명의 특정 실시예만을 도시할 뿐으로서 본 발명의 청구 범위를 제한함이 아니며, 본 발명의 범위는 그 균등한 실시예에 미침을 주지하여야 한다.
도 1은, 본 발명의 실시예가 채택한 반도체 처리 챔버의 평면도이다.
도 2는, UV 경화를 위해 구성된 반도체 처리 챔버의 직렬 처리 챔버 도면이다.
도 3은, 2개의 처리 영역 상측에 각각 배치된 2개의 UV 전구를 구비한 리드 어셈블리를 갖는 직렬 처리 챔버의 부분 단면도이다.
도 4는, 처리 영역 상측에 수직으로 향한 장축을 갖는 UV 전구를 구비한 리드 어셈블리의 부분 단면도이다.
도 5는, UV 램프 어레이를 사용하는 리드 어셈블리의 바닥부 평면도이다.
도 6은, 경화를 위해 선택된 UV 램프의 제 1 어레이 및 세정 가스를 작동하기 위해 선택되는 UV 램프의 제 2 어레이를 구비한 처리 챔버의 개략적인 도면이다.
도 7은, 챔버의 2개의 처리 영역에 UV광을 제공하도록 배열된 UV 램프의 예시적인 어레이를 구비한 직렬 처리 챔버 상에 위치하는 리드 어셈블리의 사시도이다.
도 1은, 본 발명이 채택한 반도체 처리 시스템(100)의 평면도이다. 시스템(100)은 Producer™ 처리 시스템의 일 실시예로서, California, Santa Clara의 Applied Materials, Inc.로부터 상업적으로 입수 가능하다. 처리 시스템(100)은 메인프레임 구조체(101) 상에 지지되는 필요한 처리 유틸리티들을 갖는다. 처리 시스템(100)은 일반적으로 전단부 스테이징 영역(102)을 포함하며, 여기에서 기판 카세트(109)가 지지되고 기판들이 로드록 챔버(112), 기판 핸들러(113)를 포함한 전달 챔버(111), 전달 챔버(111) 상에 장착된 일련의 직렬 처리 챔버(106) 및 가스 패널(103)과 전력 분배 패널(105)과 같은 시스템(100) 작동에 필요한 지지 유틸리티를 하우징하는 백엔드(back end)(138)로부터 기판을 로딩 및 언로딩한다.
각각의 직렬 처리 챔버(106)는 기판 처리를 위해 2개의 처리 영역을 포함한다(도 3 참조). 2개의 처리 영역은 공통 가스 공급, 공통 압력 제어 및 공통 처리 가스 배기/펌핑 시스템을 공유한다. 시스템의 모듈형 디자인은 어떠한 구성으로부터라도 다른 구성으로 빠른 전환을 가능하게 한다. 챔버의 조합 및 배열은 특정 처리 단계를 수행하도록 다양할 수 있다. 직렬 처리 챔버(106)는 후술할 본 발명의 양상에 따른 리드를 포함할 수 있으며, 이는 기판 상의 낮은 K 재료의 경화 처리 및/또는 챔버 클리닝 처리를 위해 하나 이상의 자외선(UV) 램프를 포함할 수 있다. 일 실시예에서, 3개의 모든 직렬 처리 챔버(106)가 UV 램프를 가지며 최대 출력을 위해 평행하게 작동하는 UV 처리 챔버로서 구성된다.
모든 직렬 처리 챔버(106)가 아닌 UV 처리 챔버로 구성되는 대안적인 실시예로서, 시스템(100)이 기판 지지 챔버 하드웨어를 갖는 하나 이상의 직렬 처리 챔버에 적합할 수 있으며, 이는 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 에칭 및 이와 유사한 다양하게 공지된 처리에 적합하다. 예를 들어, 시스템(100)은 낮은 유전 상수(K) 필름과 같은 증착 물질을 기판 상에 증착하도록 CVD 챔버로서 직렬 처리 챔버(106) 중 하나를 구비하도록 구성될 수 있다. 이러한 구성은 연구 및 개발용(R&D) 제조 활용을 최대로 하며, 원하는 경우 증착된 필름이 대기에 노출되도록 제거될 수 있다.
도 2는 UV 경화를 위해 구성된 반도체 처리 챔버(100)의 직렬 처리 챔버(106) 중 하나를 도시한다. 직렬 처리 챔버(106)는 바디(200) 및 바디(200)에 힌지 연결될 수 있는 리드(202)를 포함한다. 하우징(204)의 내부를 통해 냉각 공기가 통과하도록 출구(208)를 따라 입구(206)에 각각 연결되는 2개의 하우징(204)이 리드(200)에 연결된다. 냉각 공기는 상온일 수 있으며 또는 약 섭씨 22도일 수 있다. 중앙의 가압 공기 공급원(210)은 입구(206)에 충분한 공기 유동률을 제공하여 UV 램프 전구 및/또는 직렬 처리 챔버(106)와 연관된 전구를 위한 전력 공급원(214)의 적합한 작동을 보장한다. 출구(208)는 하우징(204)으로부터 배기 공기를 수용하며, 이는 전구 선택에 따라 UV 전구에 의해 생성될 수 있는 오존을 제거하기 위한 스크러버(scrubber)를 포함할 수 있는 공통 배기 시스템(212)에 의해 수집된다. 오존 관리는 오존이 없는 냉각 가스(예를 들어, 질소, 아르곤, 또는 헬륨)을 구비한 램프 냉각에 있어서 고려되지 않을 수 있다.
도 3은, 리드(202), 하우징(204) 및 전력 공급원(214)을 구비한 직렬 처리 챔버(106)의 부분 단면도이다. 각각의 하우징(204)은 바디(200)에 의해 한정된 2개의 처리 영역(300) 상에 각각 위치한 2개의 UV 램프 전구(302) 각각을 커버한다. 각각의 처리 영역(300)은 처리 영역(300) 내에서 기판(308)을 지지하기 위한 가열 받침대(pedestal, 306)를 포함한다. 받침대(306)는 알루미늄과 같은 금속 또는 세라믹으로 제조될 수 있다. 바람직하게는, 받침대(306)는 바디(200)의 바닥으로부터 연장되며 처리 영역(300) 내에서 UV 램프 전구(302)를 향해 그리고 이로부터 멀리 받침대(306)를 이동시키기 위한 구동 시스템(312)에 의해 작동하는 스템(stem)(310)에 연결된다. 또한, 구동 시스템(312)은 경화 동안 받침대(306)를 이동시키거나 및/또는 회전시킬 수 있어서 기판 조명의 균일성을 더욱 증진시킨다. 받침대(306)의 적합한 위치는 초점 거리와 같은 광전달 시스템 디자인 고려사항에 따른 기판(308) 상의 우발적인 UV 방사 레벨의 미세 조정 가능성에 추가하여 휘발성 경화 부산물과 정화 및 클리닝 가스 유동 패턴 및 체류 시간의 제어를 가능하게 한다.
일반적으로 본 발명의 실시예는 수은 마이크로웨이브 아크 램프, 펄싱된 크세논 플래시 램프 또는 고효율 UV 발광 다이오드 어레이와 같은 어떠한 UV 공급원도 고려할 수 있다. UV 램프 전구(302)는 전력 공급원(214)에 의해 여기되도록 크세논(Xe) 또는 수은(Hg)과 같은 하나 이상의 가스에 의해 채워진 플라스마 전구에 의해 밀봉된다. 바람직하게는, 전력 공급원(214)은 (도시되지 않은) 하나 이상의 마그네트론 및 (도시되지 않은) 하나 이상의 변압기를 포함할 수 있는 마이크로웨이브 생성기일 수 있어서 마그네트론의 필라멘트에 에너지를 공급한다. 키로와트 마이크로웨이브(MW) 전력 공급원을 갖는 일 실시예에서, 각각의 하우징(204)은 전력 공급원(214)에 인접한 틈(215)을 포함하며, 전력 공급원(214)으로부터 약 6000W에 이르는 마이크로웨이브 전력을 수용하여 후속적으로 각각의 전구(302)로부터 약 100W에 이르는 UV광을 생성한다. 다른 실시예에서, UV 램프 전구(302)는 그 안에 전극 또는 필라멘트를 포함할 수 있어서, 전력 공급원(214)이 전극에 직류(DC) 또는 펄싱된 DC와 같이 회로 및/또는 전류 공급원을 나타낸다.
소정의 실시예를 위한 전력 공급원(214)은 무선 주파수(RF) 에너지 공급원을 포함할 수 있으며, 이는 UV 램프 전구(302) 내에 가스 여기를 가능하게 한다. 전구 내의 RF 여기 구성은 용량성이거나 또는 유도성일 수 있다. 유도성 커플링 플라스마(ICP) 전구는 용량성 커플링 방전(capacitively coupled discharge)에 비하여 보다 밀집한 플라스마 생성으로서 전구 조도를 효과적으로 증가시키도록 사용될 수 있다. 추가로, 전극 기능 저하(degradation)로 인한 UV 출력 저하를 제거하여 ICP 램프는 증진된 시스템 제조성을 위해 보다 수명이 긴 전구를 가능하게 한다. RF 에너지 공급원인 전력 공급원(214)의 장점은 효율의 증가를 포함한다.
바람직하게는, 전구(302)는 170㎚ 내지 400㎚ 파장 대역으로 빛을 방사한다. 전구(302) 내에서 사용되도록 선택된 가스들이 방사되는 파장을 결정한다. 산소가 존재하는 경우 보다 짧은 파장이 오존을 생성하는 경향이 있기 때문에, 전구(302)에 의해 방사되는 UV광이 200㎚ 이상의 UV광 대역을 생성하여 경화 처리 동안 오존 생성을 방지한다.
UV 램프 전구(302)에 의해 방사되는 UV광은 리드(202) 내의 틈에 놓인 윈도우(314)를 통해 처리 영역(300)에 진입한다. 윈도우(314)는 바람직하게는 OH 프리 합성 석영 유리(OH free synthetic quartz glass)로 이루어지고, 크래킹 없이 진공을 유지하도록 충분한 두께를 갖는다. 더욱이, 윈도우(314)는 약 150㎚ 이하의 UV광을 전달하는 용융된 실리카(fused silica)인 것이 바람직하다. 리드(202)가 바디(200)에 밀봉하고 윈도우(314)가 리드(202)에 밀봉하기 때문에, 처리 영역(300)은 약 1Torr 내지 약 650Torr의 압력을 유지할 수 있는 체적을 제공한다. 처리 또는 클리닝 가스는 2개의 입구 통로(316) 중 하나 각각을 통해 처리 영역(300)에 진입한다. 다음, 처리 또는 클리닝 가스는 공통 출구 포트(318)를 통해 처리 영역(300)에서 진출한다. 추가로, 하우징(204) 내측에 공급된 냉각 공기는 전구(302) 너머 순환하지만 윈도우(314)에 의해 처리 영역(300)으로부터 구분된다.
일 실시예에서, 각각의 하우징(204)은 이색성 필름(dichoric film)으로 코팅된 캐스트 석영 라이닝(cast quartz lining)(304)에 의해 한정되는 내측의 포물선형 표면을 포함한다. 석영 라이닝(304)은 UV 램프 전구(302)로부터 방사된 UV광을 반사하고, 석영 라이닝(304)에 의해 처리 영역(300) 내부로 지향된 UV광의 패턴에 기초하여 경화 처리 및 챔버 클리닝 처리 모두에 적합한 형태를 갖춘다. 소정의 실시예에서, 석영 라이닝(304)은 내측의 포물선형 표면의 형태를 변경시키고 이동시킴으로써 각각의 처리 또는 과제(task)에 보다 적합해지도록 제어된다. 추가로, 석영 라이닝(304)은 이색성 필름에 의해 바람직하게 전구(302)로부터 방출된 자외선은 반사시키고 적외선은 투과시킨다. 이색성 필름은, 교번적으로(alternating) 높고 낮은 굴절률(refractive index)을 갖는 다양한 유전 물질로 이루어진 주기적인 다층형 필름(periodic multilayer film)으로 이루어지는 것이 보통이다. 코팅이 금속성이 아니기 때문에, 캐스트 석영 라이닝(304)의 후면 상에 하향 입사하는, 전력 공급원(214)으로부터의 마이크로웨이브 방사는 모듈화된 층과 상호작용을 크게 하지 않거나 또는 이에 의해 흡수되지 않으며, 전구(302) 내의 가스를 이온화하도록 쉽게(readily) 투과된다.
다른 실시예에서는, 경화 동안 및/또는 클리닝 동안 석영 라이닝(304)을 회전시키거나 그렇지 않으면 주기적으로 이동시킴으로써 기판 평면의 조명의 균일성을 증진시킨다. 또 다른 실시예에서는, 석영 라이닝(304)이 전구(302)에 대해 고정되고 전체 하우징(204)이 기판(308)에 걸쳐 주기적으로 병진운동하거나 또는 회전한다. 또 다른 실시예에서는, 받침대(306)를 통한 기판(308)의 회전 또는 주기적 병진운동이 기판(308)과 전구(302) 사이의 상대적인 이동을 제공하여 조명 및 경화 균일성을 증진시킨다.
탄소 함유 필름의 경화 처리 동안, 받침대(306)는 1~10Torr에서 350℃ 내지 500℃ 사이, 바람직하게는 400℃로 가열된다. 받침대(306)로부터 기판으로의 열 전달을 증진시키도록 처리 영역(300) 내의 압력은 바람직하게는 약 0.5Torr보다 낮지 않다. 증착된 필름의 수축률이 압력 감소에 따라 증가한다는 사실로서 다공성 생성 인자 제거를 가속화하도록, 기판 처리량은 낮은 압력에서 경화 처리를 수행함으로써 증진한다. 더욱이, 경화 처리가 낮은 압력에서 이루어지는 경우, 제조기의 주변 대기 내에서 습기에 노출되는 것에 대한 결과적인 유전 상수의 안정성이 증진된다. 예를 들어, 동일 조건에서, 75Torr의 경화 처리는 유전 상수 κ가 2.6인 필름을 생성하였지만, 3.5Torr의 경화 처리는 유전 상수 κ가 2.41인 필름을 생성하였다. 표준 가속 안정성 테스트를 완료한 이후, 75Torr에서 경화된 필름의 유전 상수는 2.73로 증가하였지만, 3.5Torr에서 경화된 필름의 유전 상수는 2.47로 약 절반만큼 증가하였다. 따라서, 낮은 압력의 경화는 주변 습기에 약 절반만큼 민감한 낮은 유전 상수를 제조하였다.
실시예 1
탄소 도핑된 산화 규소 필름을 위한 경화 처리는, 직렬 처리 챔버(106)에서의 8Torr에서 각각의 입구 통로(316)를 통한 14slm(standard liters per minute)의 헬륨(He)의 유입(트윈에서는 각 측면 당 7slm)을 포함한다. 주된 관심사는 반응성 UV 표면 처리를 위해 바람직한 다른 구성요소가 사용되어 산소가 없는 것이기 때문에, 소정의 실시예에서는 대신에 질소(N2) 또는 아르곤(Ar)이 사용되거나 또는 He와 혼합되어 사용된다. 정화 가스(purge gas)는 2개의 주된 기능을 하는데, 이는 경화 부산물을 제거하는 것과 기판에 걸쳐 균등한 열 전달을 촉진하는 것이다. 이러한 비-반응성 정화 가스는 처리 영역(300) 내의 표면에서 생성되는 부산물을 최소화한다.
추가로, 기판(300) 상의 필름으로부터 소정의 메틸 그룹을 바람직하게 제거하고 경화 동안 유출되어 너무 많은 메틸 그룹을 제거하는 경향이 있는 산소를 배기하도록, 수소가 추가될 수 있다. 수소는 산소/오존 기초 클리닝 이후 챔버 내에 남은 잔여 산소 및 경화 동안 필름으로부터 밖으로 배기된 산소를 모을 수 있다. 이러한 산소 공급원 중 하나는, 경화에서 사용될 수 있는 단파장 UV에 의해 그리고 메틸 내에서 최종 필름을 조악하게 남길 수 있는 휘발성 부산물을 형성하는 메틸 라디컬과 결합함으로써 형성된 산소 라디컬의 포토-유도성 반응(photo-induced reactions)에 의해 경화 필름에 손상을 줄 수 있다. 약 275㎚보다 적은 UV 방사선 파장에서는 수소가 필름 내의 탄소-탄소 결합을 공격하고 CH4 형태로 메틸 그룹을 제거할 수 있는 수소 라디컬을 형성할 수 있기 때문에, 경화 처리에 유입되는 수소의 양을 신중하게 검토하여야 한다.
본 발명의 양상에 따른 소정의 경화 처리는, 전구(302)로서 펄싱된 크세논 플래시 램프를 사용할 수 있는 펄싱된 UV 유닛을 사용한다. 기판(308)이 처리 영역(300)에서 진공 하에서 약 10milliTorr 내지 약 700Torr인 동안, 기판(308)은 전구(302)로부터 UV광의 펄스에 노출된다. 펄싱된 UV 유닛은 다양한 적용을 위해 UV광의 출력 주파수를 조정할 수 있다.
클리닝 처리를 위해, 받침대(306)의 온도가 약 100℃ 내지 약 600℃ 사이로 상승될 수 있으며, 바람직하게는 약 400℃이다. 처리 영역(300) 내의 UV 압력이 입구 통로(316)를 통한 영역 내로의 클리닝 가스 유입에 의해 상승하면서 이러한 보다 높은 압력은 열 전달을 용이하게 하고 클리닝 작동을 증진시킨다. 추가로, 유전 배리어/코로나 방출 또는 UV 반응과 같은 방법을 이격되어 사용하여 생성된 오존이 처리 영역(300) 내에 진입할 수 있다. 오존은 가열된 받침대(306)와 접촉하여 O 및 O2로 해리된다. 클리닝 처리에서, 산소 원자는 탄화수소 및 처리 영역(300)의 표면 상에 있는 탄소와 반응하여 출력 포트(318)를 통해 배기되거나 펌핑되어 나갈 수 있는 일산화탄소 및 이산화탄소를 형성한다. 받침대 공간을 제어하는 동안 받침대(306)를 가열하는 것, 클리닝 가스 유동률 및 압력은 산소 원자와 오염 물질 사이의 반응률을 증진시킨다. 결과적인 휘발성 반응물 및 오염 물질은 처리 영역(300)을 통해 펌핑되어 나가서 클리닝 처리를 완결한다.
산소와 같은 클리닝 가스는 인시츄 오존을 생성하는 선택적 파장에서 UV 방사선에 노출된다. 전력 공급원(214)이 켜질 수 있어서, 희망하는 파장에서 바람직하게는 클리닝 가스가 산소인 경우 약 184.9㎚ 및 약 253.7㎚의 전구(302)로부터 클리닝될 표면에 직접적으로 그리고 석영 라이닝(304)의 포커싱에 의해 간접적으로 UV광 방사가 이루어진다. 예를 들어, 184.9㎚ 및 253.7㎚의 UV 방사선 파장은, 산소가 184.9㎚ 파장을 흡수하여 오존 및 산소 원자를 생성하고, 그리고 253.7㎚ 파장이 오존에 의해 흡수되어 산소 가스 및 산소 원자로 해리되기 때문에 클리닝 가스로서 산소를 사용하는 클리닝을 최적화한다.
실시예 2
일 실시예에서, 클리닝 처리는 직렬 챔버 내로 5slm의 오존 및 산소(산소의 13중량%의 오존)의 유입을 포함하며, 각각의 처리 영역(300)에 균등하게 나뉘어 처리 영역(300) 내의 표면으로부터 증착을 클리닝하는데 충분한 산소 라디컬을 생성한다. O3 분자는 다양한 유기 잔여물을 공격할 수 있다. 잔여 O2 분자는 처리 영역(300) 내의 표면 상의 탄화수소 증착을 제거하지 않는다. 충분한 클리닝이 8Torr에서 6쌍의 기판을 경화하는데 20분의 클리닝 처리에서 이루어질 수 있다.
도 4는 처리 영역(400) 상에서 수직으로 배향된 장축(403)을 갖는 UV 전구를 구비한 리드 어셈블리(402)의 부분 단면도이다. 본 실시예에서 반사기의 형태가 다른 실시예와 다르다. 달리 말하면, 반사기 형태가 최대 강도 및 기판 평면 상의 조명의 균일성을 보장하도록 각각의 램프 형태, 배향 및 단일 또는 다중 램프의 조합으로서 최적화되어야 한다. 직렬 처리 챔버(406)의 오직 절반만이 도시된다. 전구(403)의 배향과 달리, 도 4에 도시된 직렬 처리 챔버(406)는 도 2 및 3에 도시된 직렬 처리 챔버(106)와 유사하다. 따라서, 직렬 처리 챔버(406)는 전술한 양상 중 어느 것을 채택할 수 있다.
도 5는 UV 램프 어레이(502)를 사용하는 리드 어셈블리의 바닥면(500)의 부분 도면이다. UV 램프 어레이(502)는 도 2~4에 도시된 실시예에서 기재한 단일 전구 대신 직렬 처리 챔버 상의 하우징 내에 위치한다. 많은 각각의 전구들이 설명되었는데, UV 램프 어레이(502)는 단일 전력 공급원 또는 별도의 전력 공급원으로부터 전력을 공급받는 2개의 전구를 포함할 수 있다. 예를 들어, 일 실시예에서 UV 램프 어레이는 제 1 파장 분배를 방사하는 제 1 전구 및 제 2 파장 분배를 방사하는 제 2 전구를 포함한다. 따라서, 경화 처리는 가스 유동, 조성, 압력 및 기판 온도의 제어에 추가하여 주어진 경화 챔버 내의 다양한 램프로서 다양한 시퀀스의 조명를 한정하여 제어될 수 있다. 다중-경화 챔버 시스템에 추가하여, 경화 처리는, 사용되는 각각의 특정 경화 부분에서의 램프 스펙트럼, 기판 온도, 주변 가스 조성 및 압력과 같은 파라미터에 무관하게 각각이 제어되는 각각의 직렬 내에서의 처리 시퀀스를 한정함으로써 보다 정밀하게 제어될 수 있다.
UV 램프 어레이(502)는, UV 램프 어레이(502) 내의 하나, 둘 또는 그 이상의 상이한 형식의 각각의 전구를 선택하고 배열함으로써 경화 처리 및 클리닝 처리를 수행하도록, 특정한 UV 분배 요구치를 만족하도록 디자인될 수 있다. 예를 들어, 전구는 낮은 압력의 Hg, 중간 압력의 Hg, 및 높은 압력의 Hg로부터 선택될 수 있다. 클리닝에 특히 적합한 파장 분배로서 전구로부터의 UV광이 전체 처리 영역을 향할 수 있으며, 경화에 특히 적합한 파장 분배로서 전구로부터의 UV광이 특히 기판을 향할 수 있다. 추가로, 기판에서 특정 방향으로 배향된 UV 램프 어레이(502) 내의 전구는 UV 램프 어레이(502) 내의 다른 전구로부터 독립적으로 선택적 전력을 받을 수 있어서, 선택된 전구가 클리닝 처리 또는 경화 처리 중 어느 하나를 위해 켜질 수 있다.
UV 램프 어레이(502)는 UV 발광 다이오드와 같은 높은 효율의 전구를 사용할 수 있다. 마이크로웨이브에 의해 또는 펄싱된 공급원에 의해 전력을 받은 UV 공급원은 10W~100W와 같은 낮은 전력의 전구에 비교하여 5%의 전환 효율을 가지며, 이는 UV 램프 어레이(502)에서 약 20%의 전환 효율을 제공할 수 있다. 마이크로웨이브 전력 공급원에서 전체 에너지의 95%는 가열에 사용되고 이는 에너지를 낭비하고 추가적인 냉각 필요성을 요구하며, 오직 5%의 에너지만이 UV 방사로 전환된다. 낮은 전력의 전구의 낮은 냉각 필요성 요구는 UV 램프 어레이(502)가 기판에 보다 근접하게 위치하도록 하여 (예를 들어, 1 내지 6인치 사이) 반사되는 UV광 및 에너지 손실을 줄인다.
더욱이, 리드 어셈블리의 바닥면(500)은 UV 램프 어레이(502) 내에 배치된 다수의 가스 출구(504)를 포함할 수 있다. 따라서, 경화 및 클리닝 가스가 챔버 내의 처리 영역에 그 위로부터 유입될 수 있다. (도 6 및 7 참조)
도 6은 경화를 위해 선택된 UV 램프의 제 1 어레이(602) 및 클리닝 가스를 작동하도록 선택되고 이격되어 위치한 UV 램프의 제 2 어레이(604)를 구비한 처리 챔버(600)를 도시한다. UV 램프의 제 1 어레이(602)는 제 1 파장 분배를 구비한 제 1 전구 그룹(601) 및 제 2 파장 분배를 구비한 제 2 전구 그룹(603)을 갖는다. UV 램프의 제 1 어레이(602) 내의 모든 그룹 전구(601, 603)가 경화 처리 동안 UV광을 기판(606) 상에 포커싱한다(패턴(605)으로 도시됨). 이후, 세정 가스가 입구(610)로부터 유입되고(화살표(608)로 도시됨), UV 램프의 제 2 어레이(604)로부터 UV 방사를 하여 바람직하게 오존을 생성한다. 후속적으로, 오존은 오존의 활성에 의해 야기된 산소 프리 라디컬이 출구(614)를 통해 배기되기 전에 처리 영역(612)을 클리닝하는 처리 영역(612)에 진입한다.
도 7은, 예시적으로 구분되어 배열된 UV 램프(762)를 구비한 (도시되지 않은) 직렬 처리 챔버 상에 위치하기 위한 리드 어셈블리(702)의 사시도를 도시하며, 챔버의 2개의 처리 영역에 UV광을 제공한다. 도 2 및 3에 도시된 실시예와 유사하게, 리드 어셈블리(702)는, 하우징(704)에 의해 커버되는 UV 램프 전구(732)를 가로질러 냉각 공기를 통과시키도록 하우징(704) 상에서 대향하여 위치하는 상응하는 출구(208)를 따라서 (도시되지 않은) 입구부에 연결된 하우징(704)을 포함한다. 각각의 구분된 UV 램프(762)의 어레이를 구비한 이러한 실시예에서, 냉각 공기는 각각의 전구(732)와 윈도우 사이 또는 각각의 전구(732)를 각각 둘러싸는 UV 전달 보호성 튜브 사이에서 규정된 환형을 통과한다. 하우징(704)의 내측 루프(706)가 UV광이 기판을 향하도록 반사기를 제공하고, 블록커(blocker)가 가스 입구부(716)에 의해 하우징의 상부 내에 공급되는 가스의 확산을 용이하게 한다.
전술한 실시예 중 어느 하나라도 다른 실시예와 조합될 수 있으며 또는 다른 실시예의 양상과 채택되도록 수정될 수 있다. 본 발명의 실시예들에 대하여 기술하였지만, 첨부된 청구 범위에 의해 결정되는 양상 및 청구 범위를 벗어나지 않고 변형되어 다른 실시예가 사용될 수 있음을 주지하여야 한다.

Claims (20)

  1. 기판 위에 배치된 유전 물질들을 경화시키기 위한 자외선(UV) 경화 챔버에 있어서,
    서로 구분되고 인접한 제1 처리 영역과 제2 처리 영역을 형성하는 바디;
    상기 제1 처리 영역과 상기 제2 처리 영역을 커버하기 위하여 상기 바디의 상부에 커플링되는 리드(lid)로서, 상기 제1 처리 영역과 상기 제2 처리 영역 위에 각각 정렬되는 제1 석영 윈도우(quartz window)와 제2 석영 윈도우를 포함하는 리드;
    상기 제1 석영 윈도우와 상기 제2 석영 윈도우 위에 각각 배치되는 제1 자외선 공급원과 제2 자외선 공급원;
    상기 제1 자외선 공급원과 상기 제2 자외선 공급원을 각각 커버하고, 상기 리드에 커플링되는 제1 하우징과 제2 하우징; 및
    상기 제1 하우징과 상기 제2 하우징에 각각 배치되는 제1 반사기와 제2 반사기로서, 각각이 상기 반사기들에 의해 상기 처리 영역들 안으로 향하는 자외선 광의 패턴들을 조정하도록 이동 가능한, 제1 반사기 및 제2 반사기;를 포함하는,
    자외선 경화 챔버.
  2. 제1항에 있어서,
    상기 제1 처리 영역과 상기 제2 처리 영역으로의 가스 입구들은, 클리닝 처리를 위하여 상기 처리 영역들로 오존을 공급하도록 이루어진,
    자외선 경화 챔버.
  3. 제1항에 있어서,
    상기 제1 하우징의 내부 및 상기 제2 하우징의 내부에 배치된 상기 제1 자외선 공급원과 상기 제2 자외선 공급원을 냉각하기 위하여, 상기 제1 하우징의 내부 및 상기 제2 하우징의 내부와 유체 소통(fluid communication)하는 중앙의 공기 공급원을 더 포함하는,
    자외선 경화 챔버.
  4. 제3항에 있어서,
    상기 제1 하우징의 내부 및 상기 제2 하우징의 내부에 가열된 공기를 수집하고 상기 공기로부터 오존을 제거하기 위하여, 상기 제1 하우징의 내부 및 상기 제2 하우징의 내부와 유체 소통하는 공통 배기 시스템(common exhaust system)을 더 포함하는,
    자외선 경화 챔버.
  5. 제1항에 있어서,
    상기 기판을 지지하기 위하여, 상기 제1 처리 영역과 상기 제2 처리 영역 안에 각각 배치되는, 가열되고 이동 가능한 제1 받침대 및 제2 받침대를 더 포함하는,
    자외선 경화 챔버.
  6. 제1항에 있어서,
    상기 제1 자외선 공급원 및 상기 제2 자외선 공급원의 활성을 위한 하나 이상의 전력 공급원을 더 포함하고, 상기 하나 이상의 전력 공급원 각각은 하나 이상의 마이크로웨이브 생성기(microwave generator)인,
    자외선 경화 챔버.
  7. 제1항에 있어서,
    상기 제1 자외선 공급원 및 상기 제2 자외선 공급원의 활성을 위한 하나 이상의 전력 공급원을 더 포함하고, 상기 하나 이상의 전력 공급원 각각은 하나 이상의 무선 주파수 생성기(radio frequency generator)인,
    자외선 경화 챔버.
  8. 제1항에 있어서,
    상기 제1 자외선 공급원 및 상기 제2 자외선 공급원 각각은 하나 이상의 자외선 전구(UV bulb)들을 포함하는,
    자외선 경화 챔버.
  9. 제8항에 있어서,
    상기 하나 이상의 자외선 전구 각각은, 상기 제1 처리 영역과 상기 제2 처리 영역에 각각 배치된 제1 기판과 제2 기판에 대하여 수직으로 배향된 장축(long axe)들을 구비하는,
    자외선 경화 챔버.
  10. 제8항에 있어서,
    상기 자외선 경화 챔버는 전달 챔버 상에 장착되는,
    자외선 경화 챔버.
  11. 제1항에 있어서,
    상기 제1 하우징 및 상기 제2 하우징은, 이색성 필름(dichroic film)으로 코팅된 각각의 제1 석영 라이닝(quartz lining) 및 제2 석영 라이닝을 구비하는,
    자외선 경화 챔버.
  12. 제8항에 있어서,
    상기 제1 자외선 공급원과 제2 자외선 공급원 각각은 제1 자외선 전구와 제2 자외선 전구를 포함하는,
    자외선 경화 챔버.
  13. 제12항에 있어서,
    상기 제1 자외선 전구는, 상기 제2 자외선 전구에 의해 방사되는 제2 파장 분포와 다른 제1 파장 분포의 자외선 광을 방사하는,
    자외선 경화 챔버.
  14. 제12항에 있어서,
    상기 제1 자외선 전구는 상기 제2 자외선 전구와 독립적으로 켜질 수 있는,
    자외선 경화 챔버.
  15. 기판 위에 배치된 유전 물질들을 경화시키기 위한 자외선(UV) 경화 챔버에 있어서,
    서로 독립되고 인접한 제1 처리 영역과 제2 처리 영역을 형성하는 바디;
    상기 제1 처리 영역과 상기 제2 처리 영역을 커버하기 위하여 상기 바디의 상부에 커플링되는 리드(lid)로서, 상기 제1 처리 영역과 상기 제2 처리 영역 위에 각각 정렬되는 제1 석영 윈도우(quartz window)와 제2 석영 윈도우를 포함하는 리드;
    상기 제1 석영 윈도우와 상기 제2 석영 윈도우 위에 각각 배치되는 제1 자외선 공급원과 제2 자외선 공급원; 및
    상기 제1 자외선 공급원과 상기 제2 자외선 공급원을 각각 커버하고, 상기 리드에 커플링되는 제1 하우징과 제2 하우징으로서, 이색성 필름으로 코팅된 각각의 제1 석영 라이닝 및 제2 석영 라이닝을 구비하는, 제1 하우징 및 제2 하우징;을 포함하는,
    자외선 경화 챔버.
  16. 제15항에 있어서,
    상기 이색성 필름은, 교번적으로 높고 낮은 굴절률을 갖는 비금속성 유전 물질로 이루어진 주기적인 다층형 필름(periodic multilayer film)을 포함하는,
    자외선 경화 챔버.
  17. 제15항에 있어서,
    상기 기판을 지지하기 위하여, 상기 제1 처리 영역과 상기 제2 처리 영역 안에 각각 배치되는, 가열되고 이동 가능한 제1 받침대 및 제2 받침대를 더 포함하는,
    자외선 경화 챔버.
  18. 제15항에 있어서,
    상기 제1 자외선 공급원 및 상기 제2 자외선 공급원의 활성을 위한 하나 이상의 전력 공급원을 더 포함하고, 상기 하나 이상의 전력 공급원 각각은 하나 이상의 마이크로웨이브 생성기이거나 또는 하나 이상의 무선 주파수 생성기인,
    자외선 경화 챔버.
  19. 제15항에 있어서,
    상기 제1 자외선 공급원 및 상기 제2 자외선 공급원은, 상기 제1 처리 영역과 상기 제2 처리 영역에 각각 배치된 제1 기판과 제2 기판에 대하여 수직으로 배향된 장축들을 구비하는 하나 이상의 자외선 전구들을 포함하는,
    자외선 경화 챔버.
  20. 제15항에 있어서,
    상기 자외선 경화 챔버는 전달 챔버 상에 장착되는,
    자외선 경화 챔버.
KR1020107003394A 2005-05-09 2006-04-18 처리 챔버의 고효율 uv 클리닝 KR101168821B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/124,908 US20060251827A1 (en) 2005-05-09 2005-05-09 Tandem uv chamber for curing dielectric materials
US11/124,908 2005-05-09
US11/230,975 US20060249175A1 (en) 2005-05-09 2005-09-20 High efficiency UV curing system
US11/230,975 2005-09-20
PCT/US2006/014671 WO2006121585A1 (en) 2005-05-09 2006-04-18 High efficiency uv cleaning of a process chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077024761A Division KR101018965B1 (ko) 2005-05-09 2006-04-18 처리 챔버의 고효율 uv 클리닝

Publications (2)

Publication Number Publication Date
KR20100033431A KR20100033431A (ko) 2010-03-29
KR101168821B1 true KR101168821B1 (ko) 2012-07-25

Family

ID=36954926

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107003394A KR101168821B1 (ko) 2005-05-09 2006-04-18 처리 챔버의 고효율 uv 클리닝
KR1020077024761A KR101018965B1 (ko) 2005-05-09 2006-04-18 처리 챔버의 고효율 uv 클리닝

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020077024761A KR101018965B1 (ko) 2005-05-09 2006-04-18 처리 챔버의 고효율 uv 클리닝

Country Status (4)

Country Link
US (1) US20060249175A1 (ko)
KR (2) KR101168821B1 (ko)
CN (1) CN101736316B (ko)
WO (1) WO2006121585A1 (ko)

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20090155487A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Ultraviolet uv photo processing or curing of thin films with surface treatment
US8022377B2 (en) * 2008-04-22 2011-09-20 Applied Materials, Inc. Method and apparatus for excimer curing
WO2009146744A1 (de) * 2008-06-05 2009-12-10 Osram Gesellschaft mit beschränkter Haftung Verfahren zur behandlung von oberflächen, strahler für dieses verfahren sowie bestrahlungssystem mit diesem strahler
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US20100018548A1 (en) * 2008-07-23 2010-01-28 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
WO2009158169A1 (en) * 2008-06-27 2009-12-30 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8911553B2 (en) 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
CN102121607B (zh) * 2011-01-11 2012-10-24 安徽师范大学 一种紫外led平面固化装置的设计方案
CN103493185A (zh) 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8657961B2 (en) * 2012-04-25 2014-02-25 Applied Materials, Inc. Method for UV based silylation chamber clean
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US9287154B2 (en) * 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9573111B1 (en) 2012-07-09 2017-02-21 Kla-Tencor Corporation High purity ozone generator for optics cleaning and recovery
KR101503117B1 (ko) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 경화장치
KR102008315B1 (ko) 2013-01-23 2019-10-21 삼성전자주식회사 발광 소자 패키지
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6472247B2 (ja) * 2015-01-07 2019-02-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107738518A (zh) * 2017-10-27 2018-02-27 南京邮电大学 具有紫外固化和紫外臭氧清洗功能的灯箱
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN112703588A (zh) * 2018-09-24 2021-04-23 应用材料公司 用于清洁和表面处理的原子氧和臭氧装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020164686A1 (en) * 2019-02-12 2020-08-20 Applied Materials, Inc. Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) * 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110303009B (zh) * 2019-06-26 2020-10-16 深圳市华星光电技术有限公司 紫外光清洁装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102236594B1 (ko) * 2019-09-24 2021-04-06 (주) 예스티 램프 모듈들을 포함하는 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) * 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
EP4139498A4 (en) * 2020-04-20 2024-05-29 Applied Materials Inc MULTIPLE THERMAL CVD CHAMBERS WITH SHARED GAS SUPPLY AND EXHAUST SYSTEM
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4411931A (en) * 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
JPH04225521A (ja) * 1990-12-27 1992-08-14 Sony Corp Cvd装置
US5228206A (en) * 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
DE4231367A1 (de) * 1992-09-18 1994-03-24 Heraeus Noblelight Gmbh Reaktorvorrichtung
GB2284469B (en) * 1993-12-01 1997-12-03 Spectral Technology Limited Lamp assembly
JPH07268612A (ja) * 1994-03-29 1995-10-17 Sumitomo Electric Ind Ltd 酸化物薄膜の作製方法
JPH09120950A (ja) * 1995-10-24 1997-05-06 Shimada Phys & Chem Ind Co Ltd 紫外線洗浄装置
US5885751A (en) * 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6013330A (en) * 1997-02-27 2000-01-11 Acushnet Company Process of forming a print
WO1998042624A1 (fr) * 1997-06-23 1998-10-01 Soloviev, Evgeny Vladimirovich Procede et dispositif de traitement de liquide, d'air et de surfaces aux rayons ultraviolets
JPH11111713A (ja) * 1997-10-01 1999-04-23 Japan Storage Battery Co Ltd 絶縁膜改質方法及び半導体装置の製造方法
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6201219B1 (en) * 1998-02-25 2001-03-13 Micron Technology, Inc. Chamber and cleaning process therefor
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
EP0942330A1 (en) * 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6178973B1 (en) * 1998-07-28 2001-01-30 International Business Machines Corporation Method and apparatus for ozone generation and surface treatment
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
SG82591A1 (en) * 1998-12-17 2001-08-21 Eriston Technologies Pte Ltd Bumpless flip chip assembly with solder via
US6331480B1 (en) * 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6265830B1 (en) * 1999-03-19 2001-07-24 Nordson Corporation Apparatus and method for supplying a regulated current to a magnetron filament
US6406836B1 (en) * 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
KR100613674B1 (ko) * 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
JP4176236B2 (ja) * 1999-06-07 2008-11-05 東京エレクトロン株式会社 処理装置における紫外線ランプの光量測定方法及び装置
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6503693B1 (en) * 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP4777582B2 (ja) * 2000-04-07 2011-09-21 ノードソン コーポレーション 向上したランプの冷却を伴っているマイクロ波励起紫外線ランプシステム
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6323601B1 (en) * 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
JP4253427B2 (ja) * 2000-09-19 2009-04-15 富士フイルム株式会社 ポジ型レジスト組成物
US6380270B1 (en) * 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6623133B1 (en) * 2000-10-31 2003-09-23 Nordson Corporation Ultraviolet lamp retainer
US6559460B1 (en) * 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6732451B2 (en) * 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US6585908B2 (en) * 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
US20030015223A1 (en) * 2001-07-17 2003-01-23 American Air Liquide, Inc. Methods of cleaning containers using ozone compositions
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US20030020027A1 (en) * 2001-07-25 2003-01-30 Nordson Corporation Apparatus for infrared reduction in ultraviolet radiation generators
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6593699B2 (en) * 2001-11-07 2003-07-15 Axcelis Technologies, Inc. Method for molding a polymer surface that reduces particle generation and surface adhesion forces while maintaining a high heat transfer coefficient
US6605484B2 (en) * 2001-11-30 2003-08-12 Axcelis Technologies, Inc. Process for optically erasing charge buildup during fabrication of an integrated circuit
US20030111438A1 (en) * 2001-12-18 2003-06-19 Mukai Kevin M. Process operation supplementation with oxygen
GB2387449B (en) * 2002-04-08 2006-06-07 Nordson Uv Ltd Lamp control system
US6664737B1 (en) * 2002-06-21 2003-12-16 Axcelis Technologies, Inc. Dielectric barrier discharge apparatus and process for treating a substrate
US6657205B1 (en) * 2002-07-17 2003-12-02 Vast Light Ltd. Turbine-boosted ultraviolet-radiation sterilizing fluid processor
US6894299B2 (en) * 2002-10-03 2005-05-17 Nordson Corporation Apparatus and method for treating products with ultraviolet light
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US6987269B2 (en) * 2002-12-16 2006-01-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
US6952082B2 (en) * 2003-01-31 2005-10-04 Nordson Corporation Microwave excited ultraviolet lamp system with single electrical interconnection
US6933683B2 (en) * 2003-02-27 2005-08-23 Nordson Corporation Microwave powered lamphead having external shutter
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6831419B1 (en) * 2003-06-02 2004-12-14 Nordson Corporation Exhaust system for a microwave excited ultraviolet lamp
US6905230B2 (en) * 2003-08-18 2005-06-14 Nordson Corporation UV lamp retainer system
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials

Also Published As

Publication number Publication date
KR20070118270A (ko) 2007-12-14
CN101736316B (zh) 2013-03-20
US20060249175A1 (en) 2006-11-09
KR20100033431A (ko) 2010-03-29
KR101018965B1 (ko) 2011-03-03
CN101736316A (zh) 2010-06-16
WO2006121585A1 (en) 2006-11-16

Similar Documents

Publication Publication Date Title
KR101168821B1 (ko) 처리 챔버의 고효율 uv 클리닝
US7663121B2 (en) High efficiency UV curing system
KR101896607B1 (ko) 나노큐어 자외선 챔버용 석영 샤워헤드
US8338809B2 (en) Ultraviolet reflector with coolant gas holes and method
US8022377B2 (en) Method and apparatus for excimer curing
JP2012506622A5 (ko)
US20110100394A1 (en) Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
JP5572623B2 (ja) 基板処理チャンバを洗浄する方法
US20140262037A1 (en) Transparent yttria coated quartz showerhead

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 6