KR100927495B1 - 향상된 과도 상태 기상 증착을 위한 가스 분배 시스템 - Google Patents

향상된 과도 상태 기상 증착을 위한 가스 분배 시스템 Download PDF

Info

Publication number
KR100927495B1
KR100927495B1 KR1020077014782A KR20077014782A KR100927495B1 KR 100927495 B1 KR100927495 B1 KR 100927495B1 KR 1020077014782 A KR1020077014782 A KR 1020077014782A KR 20077014782 A KR20077014782 A KR 20077014782A KR 100927495 B1 KR100927495 B1 KR 100927495B1
Authority
KR
South Korea
Prior art keywords
gas
channel
circumferential surface
orifices
ring
Prior art date
Application number
KR1020077014782A
Other languages
English (en)
Other versions
KR20070086758A (ko
Inventor
수드히르 곤드하레칼
로버트 던칸
시아마크 사리미안
무햄머드 엠. 라쉬드
헤리 스미쓰 화이트셀
부르노 제오프라이언
파드마나반 크리쉬나라즈
루돌프 구제르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070086758A publication Critical patent/KR20070086758A/ko
Application granted granted Critical
Publication of KR100927495B1 publication Critical patent/KR100927495B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 실시예는 공정 챔버내로 가스를 보다 균일하게 분배하는 가스 분배 시스템에 관한 것이다. 일 실시예에서, 가스 분배 시스템은 외주면과 내주면을 갖는 가스 링과 가스 링의 외주면에 위치된 가스 흡입구(gas inlet)를 포함한다. 가스 흡입구는 가스 링의 외주면과 내주면 사이에 배치된 제 1 채널과 유동적으로 결합된다. 다수의 가스 배출구(gas outlet)는 가스 링의 내주면에 분포되고, 가스 링의 외주면과 내주면 사이에 배치된 제 2 채널과 유동적으로 결합된다. 다수의 오리피스(orifice)는 제 1 채널과 제 2 채널 사이에 유동적으로 결합된다. 다수의 오리피스는 가스 흡입구와 다수의 거리만큼 이격되며, 제 1 채널을 따라 측정된 가스 흡입구로부터의 거리에 따라 변하는 크기를 갖는다. 즉, 오리피스의 크기는 제 1 채널을 따라 측정된 오리피스와 가스 흡입구 사이의 거리가 증가함에 따라 증가한다.

Description

향상된 과도 상태 기상 증착을 위한 가스 분배 시스템{GAS DISTRIBUTION SYSTEM FOR IMPROVED TRANSIENT VAPOR PHASE DEPOSITION}
본 출원은 2004년 11월 29일에 출원된 미국 가특허출원 제 60/631,714호의 우선권을 주장하며, 이의 전체 내용을 본원에 참고문헌으로써 통합한다.
본 발명은 일반적으로 반도체 제조 공정에 관한 것이며, 보다 구체적으로는, 예를 들어 향상된 과도 상태(transient phase) 증착을 제공하기 위한 화학 기상 증착 챔버용의 향상된 가스 분배 시스템에 관한 것이다.
현대 반도체 디바이스를 제조하는데 있어서 중요한 스텝의 하나는, 가스들을 화학 반응하여 반도체 기판에 박막을 형성하는 것이다. 이러한 증착 공정을 일반적으로 화학 기상 증착("CVD")라고 한다. 전통적인 열적 CVD 공정은 열 유도(heat-induced) 화학 반응이 발생하는 기판 표면에 반응 가스들을 공급하여 희망하는 막을 생성한다. 다른 한편으로, 플라즈마 CVD("PECVD") 기술은 기판 표면에 가까운 반응 영역(reaction zone)으로의 무선주파수("RF") 에너지 인가에 의해 반응 가스들의 여기(excitation) 및/또는 해리(dissociation)를 촉진시켜, 플라즈마를 생성한다. 플라즈마에서 이러한 종들의 높은 반응성은 화학 반응이 발생하는데 필요한 에너지를 감소시키고, 이에 따라서 전통적인 열적 CVD 공정과 비교할 때 상기 CVD 공정에 필요한 온도를 낮춘다. 이러한 장점들은 고밀도플라즈마("HDP") CVD 기술에서 더욱 향상되며, 이 기술에서 플라즈마 종들이 더욱 반응적이도록 조밀한 플라즈마가 저 진공 압력에서 형성된다. "고밀도"는 1011 ions/cm3과 동일하거나 이를 초과하는 이온 밀도를 가지는 것을 의미한다.
HDP-CVD 기술을 효과적으로 사용하는데 제공되는 특정 어플리케이션들은, 셀로우-트렌치 분리(shallow-trench isolation: "STI"), 프리메탈 유전체(premetal dielectric: "PMD") 어플리케이션, 및 인터메탈 유전체(intermetal dielectric: "IMD") 어플리케이션을 포함한다. 다양한 상기 어플리케이션에서 증착 특성에 영향을 주는 한 가지 문제점은, 상이한 조성을 갖는 서로 인접한 막들 간의 확산이며, 이는 생성되는 막 구조의 희망하는 임의의 성질에 악영향을 끼칠 수 있다. 상기 확산을 방지하기 위해 사용되었던 한 가지 접근 방법은, 중간 배리어막의 증착을 추가적으로 포함하는 것이다. 예를 들면, 도핑된 실리콘 산화물이 IMD 어플리케이션 내에 증착되었을 때, 도펀트(dopant)의 메탈라인으로의 확산은 산화물/메탈 경계에서 바람직하지 않은 화학적 종의 형성을 야기할 수 있으며, 이는 산화물과 메탈 간의 열악한 부착을 야기한다. 도핑된 실리콘 산화물 막을 증착하기 전에 실리콘 풍부 라이너를 메탈 위에 증착하면 도펀트 확산을 막도록 기능한다. 배리어막을 포함하는 것은, 구조 내의 향상된 부착에 관한 긍정적인 효과를 갖는다. 이제는 임의의 구조를 형성하고자 할 때, 배리어막을 증착하는 것은 많은 어플리케이션에서 거의 일반적이다. 예를 들자면, HDP-CVD를 사용하는 플루오로실리케이트- 유리("FSG") 어플리케이션 내에 불소로 도핑된 실리콘 산화물 막을 증착하기 전에, 실리콘-리치 산화물 라이너를 기판 위에 형성하는 것이 일반적이다.
최초 증착 막 또는 라이너의 증착은 HDP-CVD 반응기 내에서의 플라즈마 손상를 방지함에 있어서 중요한 요소이다. 과도 상태의 최초 증착에서 균일한 라이너를 달성하는 것은 불균일한 가스 분배로 인해 실질적으로 어렵다. 균일한 라이너를 증착하기 위한 현재의 한 가지 접근 방법은, 플라즈마가 없는 챔버에서 혼합된 가스를 포함한 저압 포격(strike)을 사용한다. 혼합 스텝동안, 기판은 플라즈마 없이 냉각되며, 이에 따라 라이너의 증착 온도를 낮춘다. 라이너 선구 가스(liner precursor gases)는 전형적으로 산소 및 실란과 같은 실리콘-소스 가스, 및 아마도 SiF4와 같은 불소-함유 가스도 포함될 수 있다. 선-혼합(premixing) 스텝 후의 플라즈마 포격(striking)은, "HDP-CVD 챔버 내의 저압 포격"라는 제목으로 1999년 12월 23일에 제출된 동시계속 중이고, 공통적으로 양수된, 미국 특허 출원 제 09/470,819호에서 개시된 것과 같이 저압 포격에 의해 처리할 수 있다. 또한, 저압 포격을 사용하면, 플라즈마 스테이지 점화(ignition) 기간 동안 플라즈마 불안정을 피할 수도 있으며, 그렇지 않으면, 막의 일관성 없는(inconsistent) 품질을 생성할 것이다.
다른 한편으로, 증착 온도를 최대화하는 것은 HDP-CVD 반응기 내의 중요한 갭 충진(gapfill) 요소라고 알려져 있다. 저압 포격을 사용하여 증착 온도를 낮춤으로써, 갭 충진 특성은 나빠지는 경향이 생길 것이다.
본 발명의 실시예는 가스가 가스 분배 시스템을 통해서 공정 챔버 안으로 처음으로 흐를 때, 즉, 과도 상태 동안 가스를 보다 균일하게 공정 챔버 안으로 분배시키는 가스 분배 시스템에 관한 것이다. 특정 실시예에서, 가스 분배 시스템은 외부 채널과 내부 채널 사이의 가변 오리피스 크기를 포함한다. 가스는 가스 흡입구를 통해 외부 채널 안으로 흐르고, 그 다음, 상이한 크기를 갖는 오리피스들을 통과해 내부 채널로 이동한다. 오리피스의 크기는 외부 채널을 따라 측정된, 오리피스와 가스 흡입구 사이의 거리에 따라 증가한다. 이 방법에서, 가스의 흐름은 챔버 둘레에 배치된 다수의 가스 배출구와 유동적으로 연결된 내부 가스 채널 안으로 보다 균등하게 분배되어 챔버 안으로 가스를 주입시킨다. 외부 및 내부 채널은 원형 가스 링 내에 공정 챔버의 둘레에 구성된다. 가스 분배 시스템은 저압 포격 접근 방법에서 사용된 것과 같이 초기 증착 온도를 낮추지 않고도 균일한 라이너를 증착시키는데 사용될 수 있으며, 따라서 좋은 갭 충진 특성을 포함한 증착의 품질을 확보할 수 있다.
본 발명의 일 측면에 따라서, 가스 분배 시스템은 외주면(outer periphery)과 내주면(inner periphery)을 포함하는 가스 링, 및 가스 링의 외주면에 배치된 가스 흡입구를 포함한다. 가스 흡입구는 가스 링의 외주면과 내주면 사이에 배치된 제 1 채널과 유동적으로 결합된다. 다수의 가스 배출구는 가스 링의 내주면에 분포되고, 가스 링의 외주면과 내주면 사이에 배치된 제 2 채널과 유동적으로 결합된다. 다수의 오리피스는 제 1 채널과 제 2 채널 사이에 유동적으로 결합된다. 다수의 오리피스는 가스 흡입구로부터 다수의 거리만큼 이격되며, 제 1 채널을 따라 측정된 오리피스와 가스 흡입구 간의 거리가 증가함에 따라 오리피스의 크기가 증가하도록, 제 1 채널을 따라 측정된 가스 흡입구로부터의 거리에 따라 변하는 크기를 갖는다.
본 발명의 다른 측면에 따라서, 기판을 처리하는 동안 챔버 안으로 흐르는 가스를 분배하는 방법은, 외주면과 내주면을 포함하는 가스 링, 외주면과 내주면 사이에 배치된 제 1 채널, 및 외주면과 내주면사이에 배치된 제 2 채널을 제공하는 단계를 포함한다. 제 1 채널은 다수의 오리피스를 통해서 제 2 채널과 유동적으로 결합된다. 가스는 가스 링의 외주면에 배치된 가스 흡입구를 통해서 가스 링으로 주입된다. 가스는 가스 흡입구를 통해서 제 1 채널 안으로, 다수의 오리피스를 통해서 제 2 채널 안으로, 제 2 채널과 유도적으로 연결된 다수의 가스 배출구를 통해서 챔버 안으로 흐른다. 다수의 오리피스는 다수의 거리만큼 가스 흡입구와 이격된다. 가스가 처음으로 가스 링 안으로 주입되는, 과도기(transient period) 동안 가스 배출기를 통해 챔버 안으로 실질적으로 균일한 가스의 분배를 제공하도록 상이한 크기들을 갖는다.
도 1은 본 발명에 따라 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템의 일 실시예의 간략화된 도면이다.
도 2는 도 1의 예시적인 HDP-CVD 시스템과 결합하여 사용될 수 있는 가스 링의 간략화된 단면도이다.
도 3은 본 발명의 실시예에 따른 가스 링의 단면도이다.
도 4는 도 3의 가스 링의 일부분에 대한 확대 단면도이다.
도 5는 종래의 가스 링을 사용하여 기판 상에 증착된 막의 두께 변화를 도시하는 도면이다.
도 6은 도 5의 막에 대한 조건과 동일한 조건 하에서, 본 발명의 실시예에 따른 가스 링을 사용하여 기판 상에 증착된 막의 두께 변화를 도시하는 도면이다.
도 1은 유전체 층이 증착될 수 있는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템(10)의 일 실시예를 도시한다. 시스템(10)은 챔버(13), 진공 시스템(70), 소스 플라즈마 시스템(80A), 바이어스 플라즈마 시스템(80B), 가스 전달 시스템(33), 및 원격 플라즈마 세정 시스템(50)을 포함한다.
챔버(13) 상부는 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 물질로 구성된 돔(14)을 포함한다. 돔(14)은 플라즈마 처리 영역(16)의 상부 경계를 나타낸다. 플라즈마 처리 영역(16)은 기판(17)의 상부 표면 및 기판 지지부(18)에 의해 하부 경계가 설정된다.
가열 플레이트(23) 및 냉각 플레이트(24)는 돔(14) 위에 배치되어, 돔(14)과 열적으로 결합된다. 가열 플레이트(23) 및 냉각 플레이트(24)는 약 100℃ 내지 약 200℃ 범위에 걸쳐 약 ±10℃ 이내로 돔 온도를 제어한다. 이는 다양한 공정에서 돔 온도를 최적화시킨다. 예를 들어, 증착 공정보다도 세정 또는 식각 공정에서 보다 높은 온도로 돔을 유지하는 것이 바람직하다. 또한, 돔 온도의 정확한 제어는 챔버 내의 박편 또는 미립자 수를 감소시켜 증착된 층과 기판 사이의 접착력을 향상시킨다.
일반적으로, 플라즈마에 노출시키면, 기판 지지부(18) 상에 위치한 기판이 가열된다. 기판 지지부(18)는 열전달 가스(때때로는 후방 냉각 가스로 언급됨)를 기판의 후방으로 전달할 수 있는 내부 및 외부 통로(미도시)를 포함한다.
챔버(13)의 하부는 진공 시스템과 챔버를 결합시키는 몸체부(22)를 포함한다. 기판 지지부(18)의 베이스 부(21)는 몸체부(22) 위에 장착되어 몸체부(22)와 연속적인 내부 표면을 형성한다. 챔버(13)의 측면에 있는 삽입/제거 오리피스(미도시)를 통해 로봇 블레이드(미도시)는 기판을 챔버(13) 안팎으로 전달한다. 상부 로딩 위치(57)의 로봇 블레이드에서 하부 처리 위치(56)로 기판을 이동시키기 위해, 리프트 핀들(미도시)은 모터(미도시)의 제어에 따라 상승되고 또 하강되며, 하부 처리 위치에서 기판은 기판 지지부(18)의 기판 수용부(19) 위에 위치한다. 기판 수용부(19)는 기판 처리 동안 기판 지지부(18)에 기판을 고정하는 정전기 척(20)을 포함한다. 바람직한 실시예에서, 기판 지지부(18)는 알루미늄 산화물 또는 알루미늄 세라믹 물질로 형성된다.
진공 시스템(70)은 트로틀 바디(25)를 포함하며, 트로틀 바디(25)는 3-블레이드 트로틀 밸브(26)를 수용하고 게이트 밸브(27) 및 터보-분자 펌프(28)에 부착된다. 트로틀 바디(25)가 가스 흐름에 대한 방해를 최소화시키고, 대칭 펌핑을 허용한다는 것을 주목해야 한다. 게이트 밸브(27)는 트로틀 바디(25)와 펌프(28)를 절연시킬 수 있고, 또한 트로틀 밸브(26)가 완전히 개방되는 경우 배기 흐름 용량을 제한함으로써 챔버 압력을 제어할 수 있다. 트로틀 밸브, 게이트 밸브, 및 터 보-분자 펌프를 설치하여 약 1milli-torr 내지 약 2 torr 사이에서 챔버 압력을 정확히 안정하게 제어할 수 있다.
소스 플라즈마 시스템(80A)은 돔(14) 상에 장착된 상부 코일(29) 및 측면 코일(30)을 포함한다. 대칭적 접지 실드(미도시)는 코일들 사이의 전기적 결합을 감소시킨다. 상부 코일(29)은 상부 소스 RF(SRF) 발생기(31A)에 의해 전력이 공급되고, 측면 코일(30)은 측면 SRF 발생기(31B)에 의해 전력이 공급되어, 각각의 코일에 대하여 독립적인 동작 주파수 및 전력 레벨을 공급할 수 있다. 이러한 이중 코일 시스템에 의해 챔버(13) 내의 방사 이온 밀도(radial ion density)를 제어하는 것이 가능하며, 이는 플라즈마 균일성을 개선시킨다. 측면 코일(30) 및 상부 코일(29)은 전형적으로 유도적으로 구동되며, 보조 전극이 필요하지 않다. 특정 실시예에서, 상부 소스 RF 발생기(31A)는 공칭적으로 2㎒에서 약 8000W(7㎾) 까지 또는 그보다 높은 RF 전력을 제공하며, 측면 소스 RF 발생기(31B)는 공칭적으로 2㎒에서 8000W(5㎾) 까지 또는 그보다 높은 RF 전력을 제공한다. 상부 및 측면 RF 발생기의 동작 주파수는 플라즈마-발생 효율을 개선시키기 위해 공칭 동작 주파수(예를 들어, 각각 1.7-1.9㎒ 및 1.9-2.1㎒)로부터 오프셋 된다.
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 발생기(31C) 및 바이어스 정합 회로망(32C)을 포함한다. 바이어스 플라즈마 시스템(80B)은 기판부(17)를 보조 전극으로서의 기능하는 몸체부(22)와 용량적으로 결합시킨다. 바이어스 플라즈마 시스템(80B)은 소스 플라즈마 시스템(80A)에 의해 생성된 플라즈마 종들(예를 들어 이온들)을 기판 표면으로 전달하는 것을 강화하도록 기여한다. 특정 실시예에서, 바이어스 RF 발생기는 13.56㎒에서 8000W 까지 또는 그보다 높은 RF 전력을 제공한다.
RF 발생기(31A 및 31B)는 디지털방식으로 제어되는 합성기를 포함하며 약 1.8㎒ 내지 약2.1 ㎒ 사이의 주파수 범위에 걸쳐서 작동한다. 당업자에게 공지된 바와 같이, 각각의 발생기는 챔버 및 코일로부터 발생기로 거꾸로 반사되는 전력을 측정하고, 반사 전력을 최소화하기 위해 동작 주파수를 조절하는 RF 제어 회로(미도시)를 포함한다. 통상적으로 RF 발생기는 50Ω의 특성 임피던스를 갖는 부하(load)에서 동작하도록 설계된다. RF 전력은 발생기와 상이한 특성 임피던스를 가지는 부하에서 반사될 수 있다. 이는 부하에 전달되는 전력을 감소시킬 수 있다. 또한, 부하에서 발생기로 거꾸로 반사되는 전력은 발생기에 과부화로 작용하여 발생기를 손상시킬 수 있다. 여러 요인들 가운데 플라즈마 이온 밀도에 따라 플라즈마 임피던스는 5Ω보다 작은 범위에서부터 900Ω보다 큰 범위까지이고, 반사된 전력은 주파수에 대한 함수이기 때문에, 반사된 전력에 따라서 발생기 주파수를 조절하면 RF 발생기에서 플라즈마로 전달되는 전력을 증가시키고 발생기를 보호할 수 있다. 반사된 전력을 감소시키고 효율을 강화시키는 또 다른 방법은 정합 회로망을 사용하는 것이다.
정합 회로망(32A 및 32B)은 발생기(31A 및 31B)의 출력 임피던스를 각각의 코일(29, 30)과 정합시킨다. RF 제어 회로는 정합 회로망 내의 커패시터 값을 변화시킴으로써 양 정합 회로망을 조절하여, 부하가 변화하더라도 부하와 발생기를 정합시킬 수 있다. 부하에서 발생기로 거꾸로 반사되는 전력이 일정한 한계를 초과할 때에도, RF 제어 회로는 정합 회로망을 조절할 수 있다. 일정한 정합을 제공 하고 RF 제어 회로가 정합 회로망을 조절하는 것을 효과적으로 디세이블 하기 위한 방법 중 하나는, 반사된 전력 한계치를 반사된 전력의 임의의 예상 값보다 크게 설정하는 것이다. 이는 정합 회로망을 가장 최근의 상태에서 일정하게 유지시킴으로써, 소정의 조건하에서 플라즈마를 안정화시키는데 도움을 줄 수 있다. 플라즈마의 안정화를 돕는 다른 방법이 있을 수 있다. 예를 들어, RF 제어 회로는 부하(플라즈마)에 전달되는 전력을 결정하는데 사용될 수 있으며, 층을 증착하는 동안 실질적으로 일정하게 전달된 전력을 유지하도록 발생기 출력 전력을 증가 또는 감소시킬 수 있다.
가스 전달 시스템(33)은 몇 개의 소스(34A-34E)에서 기판 제조용 챔버로 가스 전달 라인(단지 일부만이 도시됨)을 통해 가스를 공급한다. 본 기술분야의 당업자가 알고 있다시피, 소스(34A-34E)용으로 사용되는 실제 소스 및 챔버(13)와 전달 라인(38) 간의 실제 연결은, 챔버(13) 내에서 수행되는 증착 및 세정 공정에 따라 변한다. 가스는 가스 링(37) 및/또는 상부 노즐(45)을 통해 챔버(13)에 주입된다. 도 2는 가스 링(37)의 추가적인 세부 사항을 도시하는 챔버(13)의 간략화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(34A 및 34B) 및 제 1 및 제 2 가스 흐름 제어기(35A' 및 35B')는, 가스 전달 라인(단지 몇 개만이 도시됨)을 통해 가스 링(37)의 링 플레넘(36)으로 가스를 공급한다. 가스 링(37)은 기판 전체에 균일한 가스 흐름을 제공하는 다수의 가스 노즐(39)(설명을 위해 단지 한 개만을 도시함)을 포함한다. 노즐 길이 및 노즐 각도는 각각의 챔버 내의 특정 공정에 대한 균일성 프로파일 및 가스 활용 효율성에 맞춰지도록 변경될 수 있다. 일 실시예에서, 가스 링(37)은 알루미늄 산화물 세라믹으로 만들어진 24개의 가스 노즐(39)을 포함한다.
또한, 가스 링(37)은 다수의 가스 노즐(40)(단지 하나만이 도시됨)을 포함하며, 바람직한 실시예에서 가스 노즐(40)은 소스 가스 노즐(39)과 동일한 길이로 공면 상에 위치하며, 일 실시예에서 바디 플레넘(41)으로부터 가스를 수용한다. 챔버(13)에 가스를 주입하기 전에 가스들을 혼합하지 않는 것이 바람직한 소정 실시예에서, 가스 노즐(39 및 40)은 유동적으로 결합되지 않는다. 다른 실시예에서, 바디 플레넘(41)과 가스 링 플레넘(36) 사이에 오리피스(미도시)를 제공함으로써 챔버(13)에 가스를 주입하기 이전에 가스들을 혼합할 수 있다. 일 실시예에서, 제 3, 제 4 가스 소스(34C 및 34D)와 3 및 제 4 가스 흐름 제어기(35C 및 35D')는 가스 전달 라인(38)을 통해 바디 플레넘으로 가스를 공급한다. 43B(다른 밸브는 도시되지 않음)와 같은 추가 밸브들은 흐름 제어기에서 챔버로 가스가 공급되는 것을 차단할 수 있다.
가연성, 독성, 또는 부식성 가스가 사용되는 실시예에서, 증착 이후에 가스 전달 라인에 남아있는 가스를 소거하는 것이 바람직하다. 예를 들어, 밸브(43B)와 같은 3식 밸브를 사용하여 달성될 수 있으며, 전달 라인(38A)과 챔버(13)를 분리시키고 전달 라인(38A)을 진공 포어라인(foreline: 44)으로 배기시킨다. 도 1에 도시된 것처럼, 34A 및 43C와 같은 다른 유사한 밸브들은 다른 가스 전달 라인 상에 포함될 수 있다. 상기 3식 밸브는 배기되지 못한 가스 전달 라인(3식 밸브와 챔버 사이)의 체적을 최소화하도록 챔버(13)에 근접하게 위치될 수 있다. 또한, 2식(온-오프) 밸브(미도시)는 유량 제어기("MFC")와 챔버 사이 또는 가스 소스와 MFC 사이에 위치될 수 있다.
다시 도 1을 참조하면, 챔버(13)는 상부 노즐(45) 및 상부 배기구(46)를 포함한다. 상부 노즐(45)과 상부 배기구(46)는 가스의 상부 및 측면 흐름의 독립적인 제어를 가능하게 하여 막 균일성을 개선시키고, 또한 막의 증착 및 도핑 파라미터의 미세한 조절을 가능하게 한다. 상부 배기구(46)는 상부 노즐(45) 둘레의 환형 오리피스이다. 일 실시예에서, 제 1 가스 소스(34A)는 소스 가스 노즐(39)과 상부 노즐(45)로 공급한다. 소스 노즐 MFC(35A')은 소스 가스 노즐(39)로 전달되는 가스의 양을 제어하며, 상부 노즐 MFC(35A)는 상부 가스 노즐(45)로 전달되는 가스의 양을 제어한다. 유사하게, 두 개의 MFC(35B 및 35B')는 소스(34B)와 같은 단일 산소 소스로부터 상부 배기구(46) 및 산화제 가스 노즐들(40)로의 산소 흐름을 제어하는데 이용될 수 있다. 상부 노즐(45) 및 상부 배기구(46)에 공급된 가스들은, 가스들을 챔버(13)로 흐르기 전에는 분리되어 유지되도록 할 수도 있고, 또는 가스들이 챔버(13)로 흐르기 전에 상부 플레넘(48)에서 혼합될 수 있다. 동일한 가스로 된 개별 소스들은 챔버의 다양한 부분에 공급하는데 사용될 수도 있다.
도 1 및 2에서 도시된 실시예에서, 원격 마이크로파-생성 플라즈마 세정 시스템(50)은 챔버 구성요소들로부터 증착 잔류물들을 주기적으로 제거하도록 제공된다. 세정 시스템은 반응기 캐비티(53) 내의 세정 가스 소스(34E)(예를 들어, 플루오르 분자, 삼불화 질소, 다른 플루오르카본 또는 등가물)로부터 플라즈마를 생성 하는 원격 마이크로파 생성기(35)를 포함한다. 이러한 플라즈마로부터 발생하는 반응성 종들은 어플리케이터 튜브(55)를 통해 세정 가스 공급 포트(54)를 거쳐 챔버(13)로 전달된다. 세정 플라즈마를 포유하는데 사용되는 물질(예를 들어, 캐비티(53) 및 어플리케이터 튜브(55))들은 플라즈마에 의한 충격을 견뎌야만 한다. 희망하는 플라즈마 종들의 농도가 반응기 캐비티(53)에서 멀어질수록 감소할 수 있기 때문에, 반응기 캐비티(53)와 공급 포트(54) 사이의 간격은 실시 가능한 한 짧게 유지되어야 한다. 원격 캐비티에서 세정 플라즈마를 생성하면, 마이크로파 생성기를 효율적으로 사용할 수 있으며, 인-시튜로 형성된 플라즈마에 존재할 수 있는 글로 방전의 충격, 온도, 또는 방사에 챔버 구성요소들이 영향을 받지 않을 수 있다. 결과적으로, 정전기 척(20)과 같은 상대적으로 민감한 구성요소들은, 인-시튜 플라즈마 세정 공정에서 필요할 수도 있는, 더미 웨이퍼로 커버되거나 또는 다른 방법으로 보호되어야 할 필요가 없다.
도 3은 본 발명의 일 실시예에 따른 가스 링(300)을 도시한다. 가스 링(300)은 외주면 또는 외주면(outer periphery: 302)및 내주면 또는 내주면(inner periphery: 304)을 포함한다. 가스 흡입구(306)는 가스 링(300)의 외주면(302)에 배치되며, 제 1 채널 또는 플레넘(308)과 유동적으로 결합된다. 제 1 채널(308)은 가스 링(300)의 외주면(302)과 내주면(304) 사이에 배치된다. 제 2 채널 또는 플레넘(310)은 가스 링(300)의 외주면(302)과 내주면(304) 사이에 배치되며, 다수의 오리피스 또는 오리피스(312)를 통해 제 1 채널(308)과 유동적으로 결합된다. 도 3에 도시된 바와 같이, 제 1 채널은 외부 채널(308)이며, 제 2 채널은 외부 채널(308)과 가스 링(300)의 내주면 사이에 배치된 내부 채널(310)이다. 그러나, 다른 실시예에서, 제 1 및 제 2 채널(308 및 310)은 상이하게 배열될 수도 있다. 예를 들면, 상기 두 채널은 가스 링(300)의 축을 따라 서로 측면으로 이격되고, 대략 동일한 원주를 가질 수도 있다.
도 3은 제 1 채널(308) 또는 제 2 채널(310)의 원주를 따라 실질적으로 균일하게 이격된 6개의 오리피스(312)를 도시한다. 다수의 제 1 가스 배출구(316)는 가스 링(300)의 내주면(304)에 분포되며, 제 2 채널(310)과 유동적으로 결합된다. 도 3은 24개의 제 1 가스 배출구(316)를 도시한다. 제 2 채널(310)과 유동적으로 절연되고, 다른 가스 소스로부터의 가스를 공정 챔버 안으로 주입하도록 구성된 12개의 제 2 가스 배출구(318)가 있다. 예를 들면, 제 1 가스 배출구(316)는 실란과 같은 실리콘-소스 가스를 주입하는데 사용될 수 있고, 제 2 가스 배출구(318)는 산소와 같은 다른 반응 가스를 주입하는데 사용될 수 있다.
도시된 특정 실시예에서, 제 2 채널(310)은 가스 링(300)의 내주면(304) 둘레에 360°로 연장하며, 제 1 채널(308)은 제 1 채널의 두 단부(320)가 서로 이격되어 가스 링(300)의 내주면(304)의 둘레에 부분적으로 360°미만으로 연장한다. 오리피스(312)는 두 제 1 채널의 단부(320)의 각각의 근처에 배치되고, 도 3에서 도시된 것과 같이 6개의 균등하게 이격된 오리피스(312)를 포함하는 가스 링(300) 내에서 약 60°로 서로 등각 이격된다. 가스 흡입구(306)는 제 1 채널(308)을 따라 측정된 거리상으로 두 제 1 채널의 단부(320) 사이의 대략 중간쯤에 제 1 채널(308)과 결합된다. 대개, 다수의 오리피스(312)는 2보다 큰 짝수 개의 오리피스(312)를 포함한다. 다수의 오리피스(312)는 가스 흡입구(306)와 제 1 채널(308)의 원주 중심을 통과하는 선(324)에 대해 실질적으로 대칭적으로 배치된다. 가스 흡입구(306)와 제 1 채널(308)의 원주 중심을 통과하는 선 위에 놓인 오리피스(312)는 없다. 물론, 선택적인 실시예에서, 오리피스(312)의 개수와 위치는 변할 수도 있다.
다수의 오리피스(312)는 가스 흡입구(306)와 다수의 거리만큼 이격된다. 오리피스는 가스가 가스 링(300) 내로 처음으로 주입될 때, 즉 과도기 동안 가스 배출구(316)를 통해 실질적으로 균일한 가스 분배를 제공하도록 상이한 크기를 갖는다. 일반적으로 오리피스(312)는 제 1 채널(308)을 따라 측정된 가스 흡입구(306)로부터의 거리에 따라 변하는 크기를 가지며, 오리피스(312)의 크기는 제 1 채널(308)을 따라 측정된 오리피스(312)와 가스 흡입구(306) 간의 거리가 증가함에 따라 증가한다.
도 4는 제 1 채널의 단부(320) 중 하나의 주변 영역에 대한 확대도이다. 오리피스를 제조하는 한 가지 방법은, 외주면(302)에서 제 1 채널(308)을 지나 제 2 채널(310)까지 가스 링(300)의 일부분을 관통해 홀을 천공하는 것이다. 그 때, 외주면(302)과 제 1 채널(308) 사이의 홀은 플러그(330)에 의해 폐공될 수 있다.
도 5 및 6은 종래 가스 링(500)과 본 발명의 예시적인 실시예에 따른 가스 링(300)을 사용하여 기판 상에 라이너 또는 라이닝 막을 증착한 실험적인 결과를 도시한다. 도 5에서, 종래 가스 링(500)은 외부 채널(504)과 유동적으로 결합된 가스 흡입구(502)를 포함하며, 외부 채널(504)은 180°로 떨어져 배치된 두 개의 오리피스(508)에 의해 내부 채널(506)과 유동적으로 결합된다. 24개의 제 1 가스 배출구(510)는 내부 채널(506)과 유동적으로 결합되며, 12개의 제 2 가스 배출구(412)는 다른 가스 소스와 유동적으로 결합된다. 오리피스(508)의 지름은 약 0.188인치이다. 가스 링(300)은 6개의 오리피스(312)를 포함하며, 이 6개의 오리피스 중 2개의 오리피스(312a)는 가스 흡입구(306)에서 약 30°만큼 이격되어 있으며, 다른 2개의 오리피스(312b)는 가스 흡입구(306)에서 약 90°만큼, 나머지 2개의 오리피스(312c)는 가스 흡입구에서 약 150°만큼 이격되어 있다. 가장 가까운 오리피스(312a)의 지름은 약 0.093인치이며, 중간 오리피스(312b)의 지름은 약 0.125인치이고, 가장 먼 오리피스(312c)의 지름은 약 0.221인치이다.
배치된 라이너는 HDP-CVD 시스템(10)을 사용하여 플라즈마 화학 기상 증착에 의해 형성된 실리콘 산화물 라이너이다. 공정 가스는 제 1 가스 배출구(316 또는 510)를 통해 주입된 실란, 및 제 2 가스 배출구(318 또는 512)를 통해 주입된 산소(O2)를 포함한다. 인가된 에너지는 상부 코일(29)에 약 1500W, 및 측면 코일(30)에 약 5000W를 포함한다. 동작 온도는 약 450℃이며, 동작 압력은 약 6 milli-torr이다. 기판(520 및 620)의 지름은 300㎜이다. 증착 시간은 약 3초이다.
종래 가스 링(500)을 사용하여 기판(520)에 형성된 라이너는 8.10%의 편차로 약 241.8Å의 두께를 갖는다. 도 5에서 도시된 것과 같이, 라이너는 두 오리피스(508)에 가장 가까운 두 측부가 더 두껍다. 본 발명의 가스 링(300)을 사용하여 기판(620)에 형성된 라이너는 3.62%의 편차로 약 216.5Å의 두께를 갖으며, 이는 현저하게 4.48%가 향상된 결과이다. 두께의 편차는 절반보다 더 감소되었다. 도 6에서 도시된 것과 같이, 라이너 두께는 기판(620)의 중심에 대해 보다 대칭적이다. 라이너의 대칭 값(symmetry value)은 도 6에서는 2.66(Å/Å)이며, 도 5에서는 4.2이다. 다수의 테스트가 상이한 오리피스 크기에 대해 수행되었고, 제 1 채널(308)을 따라 측정된 가스 흡입구(306)와 오리피스(312) 사이의 거리가 증가함에 따라 오리피스(312)의 크기를 증가시킴으로써 라이너의 균일성이 향상된다는 것이 확립되었다. 테스트 결과에서, 과도기 후의 보다 안정 상태 하에서의 증착에서도, 가변 오리피스(312)와 함께 가스 링(300)을 사용할 때 일반적으로 균일한 막의 생성을 지속한다는 것을 더 보여준다.
상기 설명은 예시적인 의도이지 제한하고자 함이 아니라는 것을 알 것이다. 많은 실시예는 상기 설명을 검토하면 본 기술분야의 당업자에게 명백할 것이다. 예시적으로, 본 발명은 다른 형태의 챔버 및 기판을 처리하기 위한 다른 공정으로 확대할 수 있다. 가변 오리피스(312)의 개수, 크기, 및 배열도 특정 상황에 맞게 수정되어 적용될 수 있다. 그러므로, 본 발명의 범위는 상기 설명을 참조하여 결정되어서는 안 되며, 대신 이들의 균등한 전체 범위에 따라 첨부한 청구항을 참조로 결정되어야만 한다.

Claims (20)

  1. 외주면(302)과 내주면(304)을 구비하는 가스 링(300);
    상기 가스 링(300)의 상기 외주면(302)에 배치되고, 상기 가스 링(300)의 상기 외주면(302)과 상기 내주면(304) 사이에 배치되는 제 1 채널(308)과 유동적으로 결합되는 가스 흡입구(306);
    상기 가스 링(300)의 상기 내주면(304)에 걸쳐서 분포되고, 상기 가스 링(300)의 상기 외주면(302)과 상기 내주면(304) 사이에 배치되는 제 2 채널(310)과 유동적으로 결합되는 다수의 가스 배출구(316); 및
    상기 제 1 채널(308)과 상기 제 2 채널(310) 사이에 유동적으로 결합되고, 상기 가스 흡입구(306)와 다수의 거리만큼 이격된 다수의 오리피스(312)로서, 상기 오리피스(312)는 상기 제 1 채널(308)을 따라 측정될 때 상기 가스 흡입구(306)로부터의 거리에 따라 변하는 크기를 가져서, 상기 제 1 채널(308)을 따라 측정될 때 상기 오리피스(312)와 상기 가스 흡입구(306) 사이의 거리가 증가함에 따라 상기 오리피스(312)의 크기가 증가하는, 다수의 오리피스(312)를 포함하는 가스 분배 시스템.
  2. 제 1 항에 있어서,
    상기 다수의 오리피스(312)는 상기 제 1 채널(308)의 원주를 따라서 균등하게 이격된, 가스 분배 시스템.
  3. 제 1 항에 있어서,
    상기 제 2 채널(310)은 상기 가스 링(300)의 상기 내주면(304) 둘레에 360°로 연장한, 가스 분배 시스템.
  4. 제 3 항에 있어서,
    상기 제 1 채널(308)은 상기 제 1 채널(308)의 두 단부(320)가 서로 이격되도록 상기 가스 링(300)의 상기 내주면(304)의 둘레에서 부분적으로 360°미만으로 연장한, 가스 분배 시스템.
  5. 제 4 항에 있어서,
    상기 가스 흡입구(306)는 상기 제 1 채널(308)을 따라 측정될 때, 거리상 상기 제 1 채널(308)의 상기 두 단부(320) 사이의 중간인 위치에서 상기 제 1 채널(308)과 결합되고, 상기 다수의 오리피스(312)는 2보다 큰 짝수 개의 오리피스(312)를 포함하는, 가스 분배 시스템.
  6. 제 5 항에 있어서,
    상기 다수의 오리피스(312)는 상기 제 1 채널(308)의 원주의 중심 및 상기 가스 흡입구(306)를 통과하는 선에 대해서 대칭적으로 배치된, 가스 분배 시스템.
  7. 삭제
  8. 공정 가스를 챔버 안으로 흐르게 하고, 상기 챔버 안에서 상기 공정 가스의 반응을 야기함으로써 반도체 기판을 처리하기 위한 장치에서, 상기 공정 가스의 흐름을 상기 챔버 안으로 향하게 하는 가스 분배 시스템에 있어서,
    외주면(302)과 내주면(304)을 구비하는 가스 링(300);
    상기 가스 링(300)의 상기 외주면(302)에 배치되고, 상기 가스 링(300)의 상기 외주면(302)과 상기 내주면(304) 사이에 배치되는 제 1 채널(308)과 유동적으로 결합되는 가스 흡입구(306); 및
    상기 가스 링(300)의 상기 내주면(304)에 분포되고, 상기 가스 링(300)의 상기 외주면(302)과 상기 내주면(304) 사이에 배치되는 제 2 채널(310)과 유동적으로 결합되는 다수의 가스 배출구(316)를 포함하며,
    상기 제 1 채널(308)은 상기 가스 흡입구(306)와 다수의 거리만큼 이격된 다수의 오리피스(312)를 통해서 다수의 위치에서 상기 제 2 채널(310)과 유동적으로 결합되며, 상기 오리피스(312)는 상기 가스 링(300) 안으로 처음으로 가스가 주입되는 과도기 동안 상기 가스 배출구(316)를 통해 균일한 가스 분배를 제공하도록 상이한 크기를 갖는, 가스 분배 시스템.
  9. 제 8 항에 있어서,
    상기 오리피스(312)의 크기는 상기 제 1 채널(308)을 따라 측정될 때 상기 가스 흡입구(306)로부터의 거리에 따라 변하는 크기를 가져서, 상기 제 1 채널(308)을 따라 측정될 때 상기 오리피스(312)와 상기 가스 흡입구(306) 사이의 거리가 증가함에 따라 상기 오리피스(312)의 크기가 증가하는, 가스 분배 시스템.
  10. 제 8 항에 있어서,
    상기 다수의 오리피스(312)는 상기 제 1 채널(308)의 원주의 중심 및 상기 가스 흡입구(306)를 통과하는 선에 대해서 대칭적으로 배치되는, 가스 분배 시스템.
  11. 제 8 항에 있어서,
    상기 다수의 오리피스(312)는 2보다 큰 짝수 개의 오리피스(312)를 포함하고, 상기 제 1 채널(308)의 원주의 중심 및 상기 가스 흡입구(306)를 통과하는 선 상에는 상기 오리피스(312)가 없는, 가스 분배 시스템.
  12. 제 8 항에 있어서,
    상기 다수의 오리피스(312)는 상기 제 1 채널(308)의 원주를 따라서 균등하게 이격된, 가스 분배 시스템.
  13. 기판을 처리하기 위해 챔버 안으로 흐르는 가스를 분배하는 방법으로서,
    외주면(302)과 내주면(304)을 구비하는 가스 링(300), 상기 외주면(302)과 상기 내주면(304) 사이에 배치된 제 1 채널(308), 및 상기 외주면(302)과 상기 내주면(304) 사이에 배치된 제 2 채널(310)을 제공하는 단계로서, 상기 제 1 채널(308)은 다수의 오리피스(312)를 통해 상기 제 2 채널(310)과 유동적으로 결합되는, 가스 링(300), 제 1 채널(308), 및 제 2 채널(310) 제공 단계; 및
    상기 가스 링(300)의 상기 외주면(302)에 배치된 가스 흡입구(306)를 통해서 가스를 상기 가스 링(300) 안으로 주입하는 단계로서, 상기 가스는 상기 가스 흡입구(306)를 통해서 상기 제 1 채널(308)로 흐르고, 상기 다수의 오리피스(312)를 통해서 상기 제 2 채널(310)로 흐르고, 상기 제 2 채널(310)과 유동적으로 결합된 다수의 가스 배출구(316)를 통해서 챔버 안으로 흐르는, 가스 주입 단계를 포함하며,
    상기 다수의 오리피스(312)는 상기 가스 흡입구(306)와 다수의 거리만큼 이격되며, 상기 오리피스(312)는 상기 가스가 상기 가스 링(300)으로 처음으로 주입되는 과도기 동안 상기 가스 배출구(316)를 통해 상기 챔버 안으로 상기 가스의 균일한 분배를 제공하도록 상이한 크기를 갖는, 가스 분배 방법.
  14. 제 13 항에 있어서,
    상기 오리피스(312)의 크기는 상기 제 1 채널(308)을 따라서 측정될 때 상기 가스 흡입구(306)로부터의 상기 거리에 따라 변화하여, 상기 제 1 채널(308)을 따라 측정될 때 상기 오리피스(312)와 상기 가스 흡입구(306) 사이의 거리가 증가함에 따라 상기 오리피스(312)의 크기가 증가하는, 가스 분배 방법.
  15. 제 13 항에 있어서,
    상기 다수의 오리피스(312)는 상기 제 1 채널(308)의 원주의 중심 및 상기 가스 흡입구(306)를 통과하는 선에 대해 대칭적으로 배치되는, 가스 분배 방법.
  16. 삭제
  17. 제 13 항에 있어서,
    상기 다수의 오리피스(312)는 상기 제 1 채널(308)의 원주를 따라 균등하게 이격된, 가스 분배 방법.
  18. 삭제
  19. 삭제
  20. 삭제
KR1020077014782A 2004-11-29 2005-11-22 향상된 과도 상태 기상 증착을 위한 가스 분배 시스템 KR100927495B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63171404P 2004-11-29 2004-11-29
US60/631,714 2004-11-29
US11/123,453 US7722737B2 (en) 2004-11-29 2005-05-04 Gas distribution system for improved transient phase deposition
US11/123,453 2005-05-04
PCT/US2005/042790 WO2006058240A1 (en) 2004-11-29 2005-11-22 Gas distribution system for improved transient vapor phase deposition

Publications (2)

Publication Number Publication Date
KR20070086758A KR20070086758A (ko) 2007-08-27
KR100927495B1 true KR100927495B1 (ko) 2009-11-19

Family

ID=36097165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077014782A KR100927495B1 (ko) 2004-11-29 2005-11-22 향상된 과도 상태 기상 증착을 위한 가스 분배 시스템

Country Status (6)

Country Link
US (2) US7722737B2 (ko)
JP (1) JP4881873B2 (ko)
KR (1) KR100927495B1 (ko)
CN (1) CN101065513B (ko)
TW (1) TWI342899B (ko)
WO (1) WO2006058240A1 (ko)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7989366B2 (en) * 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102473634B (zh) * 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR200479181Y1 (ko) * 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101389007B1 (ko) * 2012-11-27 2014-04-24 에이피시스템 주식회사 건식 식각 장치 및 이를 이용한 건식 식각 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
CN104782234B (zh) 2013-03-15 2017-07-14 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
CN104752274B (zh) 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
KR102581681B1 (ko) 2018-09-05 2023-09-22 삼성전자주식회사 플라즈마 증착 방법 및 플라즈마 증착 장치
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20210090279A (ko) * 2018-12-07 2021-07-19 어플라이드 머티어리얼스, 인코포레이티드 컴포넌트, 컴포넌트를 제조하는 방법, 및 컴포넌트를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2846324B2 (ja) * 1988-09-30 1999-01-13 廣光 奥村 不釣合修正方法、その方法に用いる不釣合修正装置、その方法に用いる駆動刃、および軸挿入孔の穿設方法。
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JP2667364B2 (ja) * 1993-08-16 1997-10-27 キヤノン販売株式会社 成膜装置
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
JPH11195611A (ja) * 1997-12-26 1999-07-21 Canon Inc 反応装置及び半導体部材の製造方法
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3618246B2 (ja) * 1999-03-15 2005-02-09 松下電器産業株式会社 半導体装置の製造方法
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6458722B1 (en) * 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6894296B2 (en) * 2002-07-30 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd Multi-inlet PFS arc chamber for hi-current implanter
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR20040046571A (ko) * 2002-11-27 2004-06-05 주식회사 피앤아이 이온빔을 이용한 재료의 표면 처리 장치
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US7141145B2 (en) * 2003-10-02 2006-11-28 Seagate Technology Llc Gas injection for uniform composition reactively sputter-deposited thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing

Also Published As

Publication number Publication date
TWI342899B (en) 2011-06-01
US20080041821A1 (en) 2008-02-21
TW200624591A (en) 2006-07-16
WO2006058240A1 (en) 2006-06-01
CN101065513A (zh) 2007-10-31
JP2008522416A (ja) 2008-06-26
US20060113038A1 (en) 2006-06-01
JP4881873B2 (ja) 2012-02-22
US7722737B2 (en) 2010-05-25
CN101065513B (zh) 2010-05-12
KR20070086758A (ko) 2007-08-27

Similar Documents

Publication Publication Date Title
KR100927495B1 (ko) 향상된 과도 상태 기상 증착을 위한 가스 분배 시스템
US7498268B2 (en) Gas delivery system for semiconductor processing
US7789993B2 (en) Internal balanced coil for inductively coupled high density plasma processing chamber
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US7572647B2 (en) Internal balanced coil for inductively coupled high density plasma processing chamber
US7758698B2 (en) Dual top gas feed through distributor for high density plasma chamber
US20140186544A1 (en) Metal processing using high density plasma
US20080142483A1 (en) Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7651587B2 (en) Two-piece dome with separate RF coils for inductively coupled plasma reactors
US20080121177A1 (en) Dual top gas feed through distributor for high density plasma chamber
US20080188090A1 (en) Internal balanced coil for inductively coupled high density plasma processing chamber
JP5197256B2 (ja) Hdpプロセスにおけるエッチングレートドリフトの削減
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
KR101216358B1 (ko) Hdp-cvd dep/etch/dep 프로세스들에서의 불순물 제어
KR100990086B1 (ko) 고밀도 플라즈마 화학 기상 증착을 이용하는 실리콘 리치산화물 증착 제어 방법
US6812153B2 (en) Method for high aspect ratio HDP CVD gapfill
WO2008150900A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process
KR100984878B1 (ko) 유도 결합된 고밀도 플라즈마 프로세싱 챔버를 위한 내부밸런스 코일
KR200379260Y1 (ko) 반도체 프로세싱용 가스 운반 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131030

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141030

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee