KR100593448B1 - 전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들 - Google Patents

전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들 Download PDF

Info

Publication number
KR100593448B1
KR100593448B1 KR1020040072754A KR20040072754A KR100593448B1 KR 100593448 B1 KR100593448 B1 KR 100593448B1 KR 1020040072754 A KR1020040072754 A KR 1020040072754A KR 20040072754 A KR20040072754 A KR 20040072754A KR 100593448 B1 KR100593448 B1 KR 100593448B1
Authority
KR
South Korea
Prior art keywords
film
transition metal
layer
titanium
metal oxide
Prior art date
Application number
KR1020040072754A
Other languages
English (en)
Other versions
KR20060023860A (ko
Inventor
백인규
이문숙
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040072754A priority Critical patent/KR100593448B1/ko
Priority to US11/179,319 priority patent/US7420198B2/en
Publication of KR20060023860A publication Critical patent/KR20060023860A/ko
Application granted granted Critical
Publication of KR100593448B1 publication Critical patent/KR100593448B1/ko
Priority to US12/200,190 priority patent/US7741669B2/en
Priority to US12/781,907 priority patent/US7994557B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Abstract

전이금속 산화막을 데이터 저장 물질막으로 채택하는 비휘발성 기억 셀들 및 그 제조방법들이 제공된다. 상기 비휘발성 기억 셀들은 서로 중첩된 하부전극 및 상부전극을 구비한다. 상기 상부전극 및 상기 하부전극 사이에 화학식 MxOy로 표현되는 전이금속 산화막 패턴이 제공된다. 상기 화학식의 상기 기호들(characters) "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비(transition metal composition) 및 산소 조성비(oxygen composition)을 나타내고, 상기 전이금속 산화막 패턴은 그것의 안정한 상태에 비하여 과잉 전이금속(excessive transition metal)을 함유한다(contain). 상기 비휘발성 기억 셀들을 제조하는 방법들 역시 제공된다.

Description

전이금속 산화막을 데이터 저장 물질막으로 채택하는 비휘발성 기억 셀들 및 그 제조방법들{Non-volatile memory cells employing a transition metal oxide layer as a data storage material layer and methods of fabricating the same}
도 1은 본 발명의 실시예들에 따른 저항램 셀들을 도시한 단면도이다.
도 2 내지 도 4는 도 1에 보여진 저항램 셀들을 제조하는 방법들을 설명하기 위한 단면도들이다.
도 5는 본 발명의 실시예들에 따른 저항램 셀의 전이금속 산화막을 형성하는 방법들을 설명하기 위한 플로우 차트(flowchart)이다.
도 6은 본 발명의 실시예들에 따라 제작된 니켈 산화막들의 x선 광전자 스펙트로스코피(x-ray photo electron spectroscopy; XPS) 데이터를 도시한 그래프이다.
도 7은 본 발명의 실시예들에 따라 제작된 니켈 산화막들의 비저항(resistivity) 및 포밍 전압을 도시한 그래프이다.
도 8은 본 발명의 실시예들에 따라 제작된 여러 가지의 전이금속 산화막들의 포밍 전압 대 두께 특성(forming voltage vs. thickness characteristic)을 도시한 그래프이다.
도 9는 본 발명의 실시예에 따라 제작된 니켈 산화막의 스위칭 특성을 도시 한 그래프이다.
도 10은 본 발명의 실시예들에 따라 제작된 니켈 산화막들을 채택하는 저항램 셀들의 스위칭 내구성 테스트 결과(switching endurance test result)를 도시한 그래프이다.
본 발명은 반도체 소자들 및 그 제조방법들에 관한 것으로, 특히 전이금속 산화막을 데이터 저장 물질막으로 채택하는 비휘발성 기억 셀들 및 그 제조방법들에 관한 것이다.
비휘발성 기억소자들은 그들의 전원이 차단될지라도, 그들 내에 저장된 데이터들이 소멸되지 않는 특징을 갖는다. 이에 따라, 상기 비휘발성 기억소자들은 컴퓨터, 이동통신 단말기(mobile communication system) 및 메모리 카드 등에 널리 채택되고 있다.
상기 비휘발성 기억소자들로서 플래쉬 메모리소자가 널리 사용되고 있다. 상기 플래쉬 메모리소자는 적층 게이트 구조(stacked gate structure)를 갖는 메모리 셀들을 주로 채택하고 있다. 상기 적층 게이트 구조는 채널 영역 상에 차례로 적층된 터널 산화막, 부유 게이트, 게이트층간 절연막(inter-gate dielectric layer) 및 제어게이트 전극을 포함한다. 상기 플래쉬 메모리 셀의 신뢰성 및 프로그램 효율을 향상시키기 위해서는 상기 터널 산화막의 막질(film quality)이 개선되어야 하고, 셀의 커플링 비율(coupling ratio)이 증가되어야 한다.
상기 플래쉬 메모리 소자 대신에 새로운 비휘발성 기억소자들, 예컨대 저항 램(resistance RAM)이 최근에 제안된 바 있다. 상기 저항 램의 단위 셀은 두 개의 전극들 및 이들 사이에 개재된 가변저항성 물질막(variable resistive material layer)을 갖는 데이터 저장요소(data storage element)를 구비한다. 상기 가변저항성 물질막, 즉 데이터 저장 물질막(data storage material layer)은 상기 전극들 사이에 인가되는 전기적인 신호(전압 또는 전류)의 극성(polarity) 및/또는 크기(magnitude)에 따라서 도전체(conductor) 또는 절연체(insulator)로 변화하는 스위칭 특성을 갖는다. 상기 데이터 저장 물질막을 절연체로 변화시키는 데 요구되는 전압은 리셋 전압(reset voltage)이라 불리우고, 상기 데이터 저장 물질막을 도전체로 변화시키는 데 요구되는 전압은 셋 전압(set voltage)이라 불리운다.
상기 저항 램들은 미국특허 공개번호들(US patent publication Nos.) US 2003/00011789 A1, US 2003/0148545 A1 및 US 2003/0003674 A1과 아울러서 미국특허 번호 6,583,003 B1에 개시되어 있다. 이들 미국 공개특허들(US laid-open patents) 및 미국특허에 따르면, 상기 데이터 저장 물질막으로 프라세오디미윰 칼슘 망간 산화막(Praseodymium Calcium Manganese oxide layer; (Pr,Ca)MnO3, 이하 "PCMO막"이라 언급하기로 한다)이 사용된다. 그러나, 반도체소자들의 제조에 널리 사용되는 통상의 사진/식각 공정으로 상기 PCMO막을 패터닝하기가 어렵다. 이는 상기 PCMO막을 채택하는 저항 램의 집적도의 개선에 있어서 어려움으로 이어질 수 있 다. 이에 더하여, 상기 PCMO막을 형성하기 위해서는 적어도 4가지의 물질들이 혼합되어야 한다. 이에 따라, 반도체 기판의 전체에 걸쳐서 균일한 조성비(uniform composition rate)를 갖는 PCMO막을 형성하기가 어려울 수 있다.
더 나아가서, 산화 아연(ZnO)을 기본으로 하는 데이터 저장 물질막(ZnO-based data storage material layer)이 미국특허 제4,472,296호에 헌터 주니어 등(Hunter, Jr. et al.)에 의해 개시된 바 있다. 헌터 주니어 등에 따르면, 상기 산화 아연을 기본으로 하는 데이터 저장 물질막은 약 50볼트의 고전압에서 스위칭되는 특성을 갖는다.
따라서, 고성능 저항 램(high performance resistance RAM)에 대한 연구가 지속적으로 요구된다.
본 발명이 이루고자 하는 기술적 과제는 전력 소모(power consumption)의 감소 및 집적도(integration density)의 개선에 적합한 전이금속 산화막을 갖는 비휘발성 기억 셀들을 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 전력소모를 감소시킬 수 있고 집적도를 개선시킬 수 있는 전이금속 산화막을 갖는 비휘발성 기억 셀을 제조하는 방법들을 제공하는 데 있다.
본 발명의 일 양태에 따르면, 전이금속 산화막을 갖는 비휘발성 기억 셀들이 제공된다. 상기 비휘발성 기억 셀들은 반도체기판 상에 형성된 절연막 및 상기 절 연막 상에 형성되고 서로 중첩된 상/하부전극들을 포함한다. 상기 상/하부전극들 사이에 전이금속 산화막 패턴이 개재된다. 상기 전이금속 산화막 패턴은 화학식 MxOy로 표현된다. 상기 화학식의 상기 기호들 "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비(transition metal composition) 및 산소 조성비(oxygen composition)를 나타낸다. 상기 전이금속 산화막 패턴은 그것의 안정한 상태에 비하여 과잉 전이금속(excessive transition metal)을 함유한다(contain).
본 발명의 몇몇 실시예들에서, 상기 전이금속(M)이 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)이고 상기 전이금속 조성비(x)가 1일 때, 상기 산소 조성비(y)는 1보다 작은 0.5 내지 0.99일 수 있다.
다른 실시예들에서, 상기 전이금속(M)이 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)이고 상기 전이금속 조성비(x)가 1일 때, 상기 산소 조성비(y)는 2보다 작은 1.0 내지 1.98일 수 있다.
또 다른 실시예들에서, 상기 전이금속(M)이 철(Fe)이고 상기 전이금속 조성비(x)가 2일 때, 상기 산소 조성비(y)는 3보다 작은 1.5 내지 2.97일 수 있다.
또 다른 실시예들에서, 상기 전이금속(M)이 니오비움(Nb)이고 상기 전이금속 조성비(x)가 2일 때, 상기 산소 조성비(y)는 5보다 작은 2.5 내지 4.95일 수 있다.
또 다른 실시예들에서, 상기 하부전극은 이리디움막, 백금막, 이리디움 산화막, 타이타늄 질화막, 타이타늄 알루미늄 질화막(TiAlN), 루테니움막, 루테니움 산화막, 또는 폴리실리콘막일 수 있다.
또 다른 실시예들에서, 상기 상부전극은 이리디움막, 백금막, 이리디움 산화막, 타이타늄 질화막, 타이타늄 알루미늄 질화막(TiAlN), 루테니움막, 루테니움 산화막, 또는 폴리실리콘막일 수 있다.
또 다른 실시예들에서, 상기 하부전극은 상기 절연막을 관통하는 콘택 플러그를 통하여 상기 반도체기판에 전기적으로 접속될 수 있다. 상기 하부전극 및 상기 절연막 사이에 완충막이 개재될 수 있다. 상기 완충막은 상기 콘택 플러그를 덮도록 연장된다. 상기 완충막은 단일 완충막일 수 있다. 이와는 달리, 상기 완충막은 차례로 적층된 하부 완충막 및 상부 완충막을 포함할 수 있다.
또 다른 실시예들에서, 상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 갖는 기판 상에 금속층간 절연막이 제공될 수 있고, 상기 금속층간 절연막 상에 비트라인이 제공될 수 있다. 상기 비트라인은 상기 상부전극에 전기적으로 접속된다.
또 다른 실시예들에서, 상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극은 캐핑막으로 덮여질 수 있고, 상기 캐핑막 상에 금속층간 절연막이 제공될 수 있다. 상기 상부전극은 상기 금속층간 절연막 상에 형성된 비트라인에 전기적으로 접속된다. 상기 캐핑막은 알루미늄 산화막일 수 있다.
또 다른 실시예들에서, 상기 반도체기판에 억세스 트랜지스터가 제공될 수 있다. 상기 억세스 트랜지스터는 상기 반도체기판 내에 형성된 소오스 영역 및 드레인 영역과 아울러서 상기 소오스/드레인 영역들 사이의 채널 영역의 상부를 가로지르는 게이트 전극을 구비한다. 상기 드레인 영역은 상기 하부전극에 전기적으로 접속된다.
본 발명의 다른 양태에 따르면, 전이금속 산화막을 사용하여 비휘발성 기억 셀을 제조하는 방법들이 제공된다. 이 방법들은 반도체 기판 상에 절연막을 형성하는 것과, 상기 절연막 상에 하부전극막을 형성하는 것을 포함한다. 상기 하부전극막 상에 화학식 MxOy로 표현되는 전이금속 산화막을 형성한다. 상기 화학식(Mx Oy)에서, 상기 기호들(characters) "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비(transition metal composition) 및 산소 조성비(oxygen composition)를 나타낸다. 상기 전이금속 산화막은 그것의 안정한 상태에 비하여 과잉 전이금속(excessive transition metal)을 함유하도록(contain) 형성된다. 상기 전이금속 산화막 상에 상부전극막을 형성한다. 상기 상부전극막, 상기 전이금속 산화막 및 상기 하부전극막을 패터닝하여 차례로 적층된 하부전극, 전이금속 산화막 패턴 및 상부전극을 형성한다.
본 발명의 몇몇 실시예들에서, 상기 전이금속 산화막은 전이금속막을 형성하는 공정 및 상기 전이금속막을 산소 플라즈마 처리 기술을 사용하여 산화시키는 공정을 번갈아가면서 반복적으로(alternatively and repeatedly) 실시하여 형성할 수 있다. 상기 전이금속막은 스퍼터링 기술을 사용하여 형성할 수 있다. 또한, 상기 산소 플라즈마 처리는 인시투 공정을 사용하여 실시할 수 있다.
다른 실시예들에서, 상기 전이금속 산화막은 산소 반응 스퍼터링 기술(O2 reactive sputtering technique), 화학기상증착(chemical vapor deposition) 기술 또는 원자층증착(atomic layer deposition) 기술을 사용하여 형성할 수 있다.
또 다른 실시예들에서, 상기 전이금속(M)이 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)일 때, 상기 전이금속 산화막은 1의 상기 전이금속 조성비(x) 및 0.5 내지 0.99의 상기 산소 조성비(y)를 갖도록 형성될 수 있다.
또 다른 실시예들에서, 상기 전이금속(M)이 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)일 때, 상기 전이금속 산화막은 1의 상기 전이금속 조성비(x) 및 1.0 내지 1.98의 상기 산소 조성비(y)를 갖도록 형성될 수 있다.
또 다른 실시예들에서, 상기 전이금속(M)이 철(Fe)일 때, 상기 전이금속 산화막은 2의 상기 전이금속 조성비(x) 및 1.5 내지 2.97의 상기 산소 조성비(y)를 갖도록 형성될 수 있다.
또 다른 실시예들에서, 상기 전이금속(M)이 니오비움(Nb)일 때, 상기 전이금속 산화막은 2의 상기 전이금속 조성비(x) 및 2.5 내지 4.95의 상기 산소 조성비(y)를 갖도록 형성될 수 있다.
또 다른 실시예들에서, 상기 절연막을 형성하기 전에 상기 반도체기판에 억세스 트랜지스터를 형성할 수 있고, 상기 절연막 내에 콘택 플러그를 형성할 수 있다. 상기 억세스 트랜지스터는 상기 반도체기판에 형성된 소오스 영역 및 드레인 영역과 아울러서 상기 소오스/드레인 영역들 사이의 채널 영역 상부에 형성된 게이트 전극을 갖도록 형성되고, 상기 콘택 플러그는 상기 하부전극을 상기 드레인 영역에 전기적으로 접속시키도록 형성된다. 이에 더하여, 상기 하부전극막을 형성하기 전에 상기 절연막 및 상기 콘택 플러그를 덮는 완충막을 형성할 수 있다. 상기 완충막은 하부전극을 형성한 후에 연속적으로 패터닝될 수 있다. 상기 완충막은 단일 완충막으로 형성할 수 있다. 이와는 달리, 상기 완충막은 하부 완충막 및 상부 완충막을 차례로 적층시키어 형성할 수 있다.
또 다른 실시예들에서, 상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 갖는 기판 상에 금속층간 절연막을 형성할 수 있고, 상기 금속층간 절연막 상에 비트라인을 형성할 수 있다. 상기 비트라인은 상기 상부전극에 전기적으로 접속된다. 상기 금속층간 절연막을 형성하기 전에 상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 덮는 캐핑막을 형성할 수 있다. 상기 캐핑막은 알루미늄 산화막으로 형성할 수 있다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하여 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
도 1은 본 발명의 실시예들에 따른 한 쌍의 비휘발성 기억 셀들, 즉 한 쌍의 저항램 셀들(resistance RAM cells)을 도시한 단면도이다.
도 1을 참조하면, 반도체기판(1)의 소정영역에 소자분리막(3)이 제공되어 활성영역을 한정한다. 상기 활성영역 내에 서로 이격된 제1 및 제2 드레인 영역들 (9d', 9d")이 제공되고, 상기 제1 및 제2 드레인 영역들(9d', 9d") 사이에 공통 소오스 영역(9s)이 제공된다. 상기 공통 소오스 영역(9s) 및 상기 제1 드레인 영역(9d') 사이의 활성영역 상부를 가로지르도록 제1 게이트 전극(7a)이 배치되고, 상기 공통 소오스 영역(9s) 및 상기 제2 드레인 영역(9d") 사이의 활성영역 상부를 가로지르도록 제2 게이트 전극(7b)이 배치된다. 상기 제1 및 제2 게이트 전극들(7a, 7b)은 각각 연장되어 제1 및 제2 워드라인들의 역할을 할 수 있다. 상기 제1 및 제2 게이트 전극들(7a, 7b)은 게이트 절연막(5)에 의해 상기 활성영역으로부터 절연된다. 상기 제1 워드라인(7a), 상기 공통 소오스 영역(9s) 및 상기 제1 드레인 영역(9d')은 제1 억세스 트랜지스터를 구성하고, 상기 제2 워드라인(7b), 상기 공통 소오스 영역(9s) 및 상기 제2 드레인 영역(9d")은 제2 억세스 트랜지스터를 구성한다.
상기 억세스 트랜지스터들 및 상기 소자분리막(3)은 절연막(20)으로 덮여진다. 상기 절연막(20)은 실리콘 산화막, 실리콘 질화막 또는 이들의 조합막(combination layer)일 수 있다. 상기 공통 소오스 영역(9s)은 소오스 콘택 플러그(13s)를 통하여 상기 절연막(20) 내의 공통 소오스 라인(17s)에 전기적으로 접속된다. 상기 공통 소오스 라인(17s)은 상기 워드라인들(7a, 7b)에 평행하도록 배치될 수 있다. 상기 제1 드레인 영역(9d')은 상기 절연막(20)을 관통하는 제1 노드 콘택 플러그(22d')에 전기적으로 접속되고, 상기 제2 드레인 영역(9d")은 상기 절연막(20)을 관통하는 제2 노드 콘택 플러그(22d")에 전기적으로 접속된다.
상기 절연막(20) 상에 상기 제1 및 제2 데이터 저장요소들(first and second data storage elements; 30a, 30b)이 제공된다. 상기 제1 데이터 저장요소(30a)는 상기 제1 노드 콘택 플러그(22d')를 덮도록 배치되고, 상기 제2 데이터 저장요소(30b)는 상기 제2 노드 콘택 플러그(22d")를 덮도록 배치된다. 상기 데이터 저장요소들(30a, 30b)의 각각은 차례로 적층된 하부전극(25), 전이금속 산화막 패턴(27) 및 상부전극(29)을 포함할 수 있다.
상기 하부전극(25)은 내산화성 금속막(oxidation resistant metal layer)인 것이 바람직하다. 예를 들면, 상기 하부전극(25)은 이리디움막(Ir), 백금막(Pt), 이리디움 산화막(IrO), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 루테니움막(Ru) 또는 루테니움 산화막(RuO)일 수 있다. 이와는 달리(alternatively), 상기 하부전극(25)은 폴리실리콘막일 수도 있다.
상기 전이금속 산화막 패턴(27)은 화학식 MxOy로 표현될 수 있다. 상기 화학식에서, 상기 기호들(characters) "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비(transition metal composition) 및 산소 조성비를 나타낸다. 본 실시예들에서, 상기 전이금속 산화막 패턴(27) 내의 산소 조성비(oxygen composition)는 그것의 안정한 상태(stable state)에서의 산소 조성비에 비하여 작은 것이 바람직하다. 다시 말해서, 상기 전이금속 산화막 패턴(27)은 그것의 안정한 상태에 비하여 상대적으로 과잉 전이금속 함량(excessive transition metal content)을 갖는 것이 바람직하다. 이는, 상기 전이금속 함량이 과잉될 때 상기 전이금속 산화막 패턴(27)의 스위칭 특성(예를 들면, 리셋 전압 및 셋 전압과 같은 스위칭 전압들)이 개선될 수 있기 때문이다.
본 발명의 일 실시예에서, 상기 전이금속(M)이 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)라면, 상기 전이금속 산화막 패턴(27)은 상기 전이금속 조성비(x) 및 상기 산소 조성비(y) 모두가 1일 때 안정한 상태를 갖는다. 이 경우에, 상기 전이금속 산화막 패턴(27), 즉 니켈 산화막(NixOy), 코발트 산화막(CoxO y), 아연 산화막(ZnxOy) 또는 구리 산화막(CuxOy)의 스위칭 특성을 향상시키기 위해서는 상기 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)의 조성비(x)가 1일 때 상기 산소 조성비(y)가 1보다 작은 0.5 내지 0.99인 것이 바람직하다.
다른 실시예에서(in another embodiment), 상기 전이금속(M)이 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)이라면, 상기 전이금속 산화막 패턴(27)은 상기 전이금속 조성비(x) 및 상기 산소 조성비(y)가 각각 1 및 2일 때 안정한 상태를 갖는다. 이 경우에, 상기 전이금속 산화막 패턴(27), 즉 하프니움 산화막(HfxOy), 지르코늄 산화막(ZrxOy), 타이타늄 산화막(Ti xOy) 또는 크롬 산화막(CrxOy)의 스위칭 특성을 향상시키기 위해서는 상기 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)의 조성비(x)가 1일 때 상기 산소 조성비(y)는 2보다 작은 1 내지 1.98인 것이 바람직하다.
또 다른 실시예에서(in still another embodiment), 상기 전이금속(M)이 철(Fe)이라면, 상기 전이금속 산화막 패턴(27)은 상기 전이금속 조성비(x) 및 상기 산소 조성비(y)가 각각 2 및 3일 때 안정한 상태를 갖는다. 이 경우에, 상기 전이 금속 산화막 패턴(27), 즉 철 산화막(FexOy)의 스위칭 특성을 향상시키기 위해서는 상기 철(Fe)의 조성비(x)가 2일 때 상기 산소 조성비(y)는 3보다 작은 1.5 내지 2.97인 것이 바람직하다.
또 다른 실시예에서(in still yet another embodiment), 상기 전이금속(M)이 니오비움(Nb)이라면, 상기 전이금속 산화막 패턴(27)은 상기 전이금속 조성비(x) 및 상기 산소 조성비(y)가 각각 2 및 5일 때 안정한 상태를 갖는다. 이 경우에, 상기 전이금속 산화막 패턴(27), 즉 니오비움 산화막(NbxOy)의 스위칭 특성을 향상시키기 위해서는 상기 니오비움(Nb)의 조성비(x)가 2일 때 상기 산소 조성비(y)는 5보다 작은 2.5 내지 4.95인 것이 바람직하다.
더 나아가서, 상기 전이금속 산화막 패턴(27)은 상술한 과잉 전이금속 함량(excessive transition metal content)을 갖는 전이금속 산화막 패턴들의 조합막(combination layer)일 수도 있다.
이에 더하여, 상기 전이금속 산화막 패턴(27)은 리튬(Li) 이온들, 칼슘(Ca) 이온들, 크롬(Cr) 이온들 또는 란타늄(La) 이온들과 같은 불순물 이온들을 함유할 수 있다.
상기 상부전극(29) 역시 상기 하부전극(25)처럼 내산화성 금속막(oxidation resistant metal layer)인 것이 바람직하다. 예를 들면, 상기 상부전극(29)은 이리디움막(Ir), 백금막(Pt), 이리디움 산화막(IrO), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 루테니움막(Ru) 또는 루테니움 산화막(RuO)일 수 있다. 이와는 달리, 상기 상부전극(29)은 폴리실리콘막일 수도 있다.
상기 데이터 저장요소들(30a, 30b) 및 상기 절연막(20) 사이에 완충막 패턴들(bufer layer pattern; 23)이 개재될 수 있다. 상기 완충막 패턴(23)들은 연장되어 상기 노드 콘택 플러그들(22d', 22d")을 덮을 수 있다. 상기 완충막 패턴들(23)은 상기 하부전극들(25) 및 상기 절연막(20) 사이의 접착력(adhesion)을 향상시키는 웨팅막(wetting layer)의 역할을 한다. 상기 완충막 패턴들(23)의 각각은 단일 완충막(a single buffer layer)일 수 있다. 상기 단일 완충막은 타이타늄막, 타이타늄 질화막, 타이타늄 알루미늄 질화막, 탄탈륨막 또는 탄탈륨 질화막일 수 있다. 이와는 달리, 상기 완충막 패턴들(23)의 각각은 차례로 적층된 하부 완충막 패턴 및 상부 완충막 패턴을 포함할 수 있다. 이 경우에, 상기 하부 완충막 패턴은 타이타늄막, 타이타늄 질화막, 타이타늄 알루미늄 질화막, 탄탈륨막 및 탄탈륨 질화막중 어느 하나일 수 있고, 상기 상부 완충막 패턴 역시 타이타늄막, 타이타늄 질화막, 타이타늄 알루미늄 질화막, 탄탈륨막 및 탄탈륨 질화막중 어느 하나일 수 있다.
상기 데이터 저장요소들(30a, 30b) 및 상기 절연막(20)은 금속층간 절연막(33)으로 덮여질 수 있다. 상기 금속층간 절연막(33)은 실리콘 산화막일 수 있다. 이 경우에, 상기 금속층간 절연막(33) 및 상기 상/하부 전극들(25, 29) 사이의 접착력이 약할 수 있다. 또한, 상기 금속층간 절연막(33)으로 사용되는 실리콘 산화막은 상기 전이금속 산화막 패턴들(27)의 스위칭 특성보다 약한 기생 스위칭 특성을 보일 수 있다. 더 나아가서, 상기 전이금속 산화막 패턴들(27)의 측벽들이 상기 금속층간 절연막(33)과 직접 접촉하는 경우에, 상기 금속층간 절연막(33) 내의 실리콘 원자들과 같은 불순물들(impurities)이 상기 전이금속 산화막 패턴들(27) 내로 확산되어 상기 전이금속 산화막 패턴들(27)의 스위칭 특성을 저하시킬 수 있다. 따라서, 상기 금속층간 절연막(33) 및 상기 상/하부 전극들(25, 29) 사이의 접착력을 개선시키고 상기 전이금속 산화막 패턴들(27)의 스위칭 특성이 저하되는 것을 방지하기 위하여, 상기 제1 및 제2 데이터 저장요소들(30a, 30b) 및 상기 금속층간 절연막(33) 사이에 캐핑막(31)이 제공될 수 있다. 상기 캐핑막(31)은 상기 절연막(20)을 덮도록 연장될 수 있다. 상기 완충막 패턴들(23)이 제공되는 경우에, 상기 캐핑막(31)은 상기 완충막 패턴(23)의 측벽들을 덮을 수 있다. 상기 캐핑막(31)은 알루미늄 산화막일 수 있다.
상기 금속층간 절연막(33) 상에 비트라인(37)이 제공될 수 있다. 상기 비트라인(37)은 상기 금속층간 절연막(33) 및 상기 캐핑막(31)을 관통하는 비트라인 콘택 플러그들(35a, 35b)을 통하여 상기 상부전극들(29)에 전기적으로 접속된다. 상기 비트라인(37)은 상기 워드라인들(7a, 7b)의 상부를 가로지르도록 배치될 수 있다.
상기 제1 데이터 저장요소(30a) 및 상기 제1 억세스 트랜지스터는 제1 저항램 셀을 구성하고, 상기 제2 데이터 저장요소(30b) 및 상기 제2 억세스 트랜지스터는 제2 저항램 셀을 구성한다.
이제, 상기 실시예들에 따른 저항램 셀들을 구동시키는 방법들을 간단히 설명하기로 한다.
먼저, 상기 저항램 셀들중 어느 하나(예를 들면, 상기 제1 저항램 셀)에 선택적으로 원하는 데이터를 저장시키기 위해서는, 상기 공통 소오스 라인(17s)을 접지시키고 상기 제1 억세스 트랜지스터를 선택적으로 턴온시킨다. 상기 제1 억세스 트랜지스터를 턴온시키기 위해서는 상기 제1 워드라인(7a)에 상기 제1 억세스 트랜지스터의 문턱전압보다 높은 워드라인 전압을 인가한다. 이 경우에, 상기 제2 워드라인(7b)은 접지되어 상기 제2 억세스 트랜지스터를 턴오프시킨다. 계속해서, 상기 제1 억세스 트랜지스터가 턴온되는 동안 상기 비트라인(37)에 리셋 전압(reset voltage) 또는 셋 전압(set voltage)을 인가한다. 상기 셋 전압은 일반적으로 상기 리셋 전압보다 높다.
상기 비트라인(37)에 상기 셋 전압이 인가되는 경우에, 상기 제1 데이터 저장요소(30a)의 전이금속 산화막 패턴(27) 내에 도전성 필라멘트가 형성되어 상기 제1 데이터 저장요소(30a)의 전기적인 저항이 낮아진다. 이에 반하여, 상기 비트라인(37)에 리셋 전압이 인가되면, 상기 제1 데이터 저장요소(30a)의 전이금속 산화막 패턴(27) 내의 상기 도전성 필라멘트가 제거되어 상기 제1 데이터 저장요소(30a)의 전기적인 저항이 증가한다.
한편, 상기 전이금속 산화막 패턴(27)의 초기 상태(initial state)는 상기 도전성 필라멘트를 갖지 않는 리셋 상태(reset state)에 상응하는 높은 저항을 보일 수 있다. 이 경우에, 상기 상/하부 전극들(25, 29) 사이에 상기 셋 전압이 인가될지라도 상기 초기 전이금속 산화막 패턴(27) 내에 상기 도전성 필라멘트가 형성되지 않을 수 있다. 이는 상기 초기 전이금속 산화막 패턴(27) 및 상기 전극들(25, 29) 사이의 불량한 계면 특성(poor interface characteristic) 및/또는 상기 초기 전이금속 산화막 패턴(27)의 물성(material property) 등에 기인할 수 있다. 이에 따라, 상기 초기 전이금속 산화막 패턴(27)은 상기 상/하부 전극들(25, 29) 사이에 상기 셋 전압보다 높은 포밍 전압(forming voltage)을 인가함으로써 초기의 안정한 셋 상태(initial stable set state)를 가질 수 있다.
상기 도전성 필라멘트가 형성되거나 제거되는 스위칭 특성은 상기 전이금속 산화막 패턴(27)의 물성(material property)에 직접적으로 영향을 받는다. 다시 말해서, 상기 셋 전압, 리셋 전압 및 포밍 전압은 상기 전이금속 산화막 패턴(27)의 조성비 및 두께 등에 의해 결정될 수 있다.
다음에, 상기 제1 저항램 셀 내에 저장된 데이터를 읽기 위한 동작은 상기 공통 소오스 라인(17s) 및 상기 제1 워드라인(7a)에 각각 접지 전압 및 워드라인 전압을 인가하고 상기 비트라인(37)에 읽기 전압(read voltage)을 인가함으로써 이루어질 수 있다. 상기 읽기 전압은 상기 읽기 동작(read operation) 동안 상기 제1 저항램 셀이 프로그램되는 것을 방지하기 위하여 상기 리셋 전압보다 낮아야 한다. 상기 제1 데이터 저장요소(30a)의 상기 전이금속 산화막 패턴(27)이 셋 상태(낮은 저항)를 갖는 경우에, 상기 비트라인(37), 상기 제1 데이터 저장요소(30a) 및 상기 제1 억세스 트랜지스터를 통하여 큰 셋 전류(large set current)가 흐른다. 그 결과, 상기 비트라인(37)에 인가된 상기 읽기 전압은 상기 읽기 전압보다 낮은 제1 읽기 전압으로 하강한다(falls). 이에 반하여, 상기 제1 데이터 저장요소(30a)의 상기 전이금속 산화막 패턴(27)이 리셋 상태(높은 저항)를 갖는 경우에, 상기 비트 라인(37), 상기 제1 데이터 저장요소(30a) 및 상기 제1 억세스 트랜지스터를 통하여 작은 리셋 전류(small reset current)가 흐른다. 그 결과, 상기 비트라인(37)에 인가된 상기 읽기 전압은 상기 읽기 전압보다 높은 제2 읽기 전압으로 상승한다(rises). 따라서, 상기 비트라인(37)에 접속된 감지 증폭기(도시하지 않음)는 상기 제1 및 제2 전압들 사이의 기준 전압을 사용하여 상기 선택된 저항램 셀에 저장된 데이터가 논리 "1" 상태인지 또는 논리 "0" 상태인지를 판별(discriminate)할 수 있다.
도 2 내지 도 4는 도 1에 보여진 저항램 셀들을 제조하는 방법들을 설명하기 위한 단면도들이다.
도 2를 참조하면, 반도체기판(1)의 소정영역에 소자분리막(3)을 형성하여 활성영역을 한정한다. 상기 활성영역 상에 게이트 절연막(5)을 형성하고, 상기 게이트 절연막(5)을 갖는 기판 상에 게이트 도전막을 형성한다. 상기 게이트 도전막을 패터닝하여 상기 활성영역의 상부를 가로지르는 한 쌍의 게이트 전극들, 즉 제1 및 제2 게이트 전극들(7a, 7b)을 형성한다. 상기 제1 및 제2 게이트 전극들(7a, 7b)은 각각 제1 및 제2 워드라인들의 역할을 하도록 연장될 수 있다.
상기 워드라인들(7a, 7b)을 이온주입 마스크로 사용하여 상기 활성영역 내로 불순물 이온들을 주입하여 공통 소오스 영역(9s)과 아울러서 제1 및 제2 드레인 영역들(9d', 9d")을 형성한다. 상기 공통 소오스 영역(9s)은 상기 제1 및 제2 워드라인들(7a, 7b) 사이의 상기 활성영역 내에 형성된다. 또한, 상기 제1 드레인 영역(9d')은 상기 제1 워드라인(7a)에 인접하면서 상기 공통 소오스 영역(9s)의 반대편 에 위치한 활성영역 내에 형성되고, 상기 제2 드레인 영역(9d")은 상기 제2 워드라인(7b)에 인접하면서 상기 공통 소오스 영역(9s)의 반대편에 위치한 활성영역 내에 형성된다. 상기 제1 워드라인(7a), 공통 소오스 영역(9s) 및 제1 드레인 영역(9d')은 제1 억세스 트랜지스터(TA1)를 구성하고, 상기 제2 워드라인(7b), 공통 소오스 영역(9s) 및 제2 드레인 영역(9d")은 제2 억세스 트랜지스터(TA2)를 구성한다.
상기 제1 및 제2 억세스 트랜지스터들(TA1, TA2)을 갖는 기판 상에 제1 층간 절연막(11)을 형성한다. 상기 제1 층간절연막(11)은 실리콘 산화막으로 형성할 수 있다.
도 3을 참조하면, 상기 제1 층간절연막(11)을 패터닝하여 상기 제1 드레인 영역(9d') 및 제2 드레인 영역(9d")을 각각 노출시키는 제1 드레인 콘택홀 및 제2 드레인 콘택홀을 형성함과 동시에 상기 공통 소오스 영역(9s)을 노출시키는 공통 소오스 콘택홀을 형성한다. 상기 제1 및 제2 드레인 콘택홀들과 아울러서 상기 공통 소오스 콘택홀을 갖는 기판 상에 도우핑된 폴리실리콘막(doped polysilicon layer)과 같은 도전막을 형성하고 상기 도전막을 평탄화시키어 상기 제1 층간절연막(11)의 상부면을 노출시킨다. 그 결과, 제1 및 제2 드레인 콘택홀들 내에 각각 제1 및 제2 드레인 콘택 플러그들(13d', 13d")이 형성되고, 상기 공통 소오스 콘택홀 내에 공통 소오스 콘택 플러그(13s)가 형성된다.
상기 콘택 플러그들(13d', 13d", 13s)을 갖는 기판 상에 금속막과 같은 도전막을 형성하고, 상기 도전막을 패터닝하여 상기 공통 소오스 콘택 플러그(13s)를 덮는 공통 소오스 라인(17s)과 아울러서 상기 제1 및 제2 드레인 콘택 플러그들 (13d', 13d")을 각각 덮는 제1 및 제2 드레인 패드들(17d', 17d")을 형성한다. 상기 공통 소오스 라인(17s)은 상기 워드라인들(7a, 7b)에 평행하도록 형성될 수 있다.
상기 드레인 패드들(17d', 17d") 및 상기 공통 소오스 라인(17s)을 갖는 기판 상에 제2 층간절연막(19)을 형성한다. 상기 제2 층간절연막(19)은 실리콘 산화막과 같은 절연막으로 형성할 수 있다. 상기 제1 및 제2 층간절연막들(11, 19)은 절연막(20)을 구성한다.
상기 제2 층간절연막(19) 내에 통상의 방법을 사용하여 상기 제1 드레인 패드(17d')와 접촉하는 제1 노드 콘택 플러그(21d') 및 상기 제2 드레인 패드(17d")와 접촉하는 제2 노드 콘택 플러그(21d")를 형성한다. 상기 노드 콘택 플러그들(21d', 21d")은 도전막으로 형성한다.
상기 노드 콘택 플러그들(21d', 21d")을 갖는 기판 상에 하부전극막을 형성한다. 상기 하부전극막은 내산화성 금속막(oxidation resistant metal layer)으로 형성하는 것이 바람직하다. 이는 상기 하부전극막이 후속의 열공정(subsequent thermal process) 동안 산화되는 경우에 상기 하부전극막과 접촉하는 물질막 사이의 계면 특성이 저하될 수 있기 때문이다. 본 발명의 실시예들에서, 상기 하부전극막은 이리디움막(Ir), 백금막(Pt), 이리디움 산화막(IrO), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 루테니움막(Ru) 또는 루테니움 산화막(RuO)으로 형성할 수 있다. 이와는 달리, 상기 하부전극막은 폴리실리콘막으로 형성할 수도 있다. 상기 하부전극막을 형성하기 전에 도전성 완충막(conductive buffer layer) 을 추가로 형성할 수 있다. 상기 완충막은 상기 하부전극막 및 상기 제2 층간절연막(19) 사이의 접착력을 향상시키기 위하여 형성한다. 상기 완충막은 단일 완충막으로 형성할 수 있다. 이 경우에, 상기 단일 완충막은 타이타늄막, 타이타늄 질화막, 타이타늄 알루미늄 질화막, 탄탈륨막 또는 탄탈륨 질화막으로 형성할 수 있다. 이와는 달리, 상기 완충막은 하부 완충막 및 상부 완충막을 차례로 적층시키어 형성할 수 있다. 이 경우에, 상기 하부 완충막은 타이타늄막, 타이타늄 질화막, 타이타늄 알루미늄 질화막, 탄탈륨막 및 탄탈륨 질화막중 어느 하나로 형성할 수 있고, 상기 상부 완충막 또한 타이타늄막, 타이타늄 질화막, 타이타늄 알루미늄 질화막, 탄탈륨막 및 탄탈륨 질화막중 어느 하나로 형성할 수 있다.
상기 하부전극막 상에 화학식 MxOy로 표현되는 전이금속 산화막을 형성한다. 상기 화학식에서, 상기 기호들 "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비 및 산소 조성비를 나타낸다. 본 실시예들에서, 상기 전이금속 산화막은 그것의 안정한 상태에 비하여 과잉 전이금속 함량을 갖도록 형성되는 것이 바람직하다. 다시 말해서, 상기 전이금속 산화막은 그것의 안정한 상태에 비하여 상대적으로 적은 산소 함량(less oxygen content)을 갖도록 형성되는 것이 바람직하다. 이는, 상기 전이금속 함량이 과잉될 때(상기 산소 함량이 부족할 때) 상기 전이금속 산화막의 스위칭 특성(예를 들면, 리셋 전압 및 셋 전압과 같은 스위칭 전압들)이 개선될 수 있기 때문이다.
본 발명의 일 실시예에서, 상기 전이금속 산화막은 니켈 산화막(NixOy), 코 발트 산화막(CoxOy), 아연 산화막(ZnxOy) 또는 구리 산화막(Cu xOy)으로 형성할 수 있다. 이 경우에, 상기 전이금속 산화막은 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)의 조성비(x)가 1일 때 상기 산소 조성비(y)가 0.5 내지 0.99이도록 형성되는 것이 바람직하다.
다른 실시예에서(in another embodiment), 상기 전이금속 산화막은 하프니움 산화막(HfxOy), 지르코늄 산화막(ZrxOy), 타이타늄 산화막(Ti xOy) 또는 크롬 산화막(CrxOy)으로 형성할 수 있다. 이 경우에, 상기 전이금속 산화막은 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)의 조성비(x)가 1일 때 상기 산소 조성비(y)가 1 내지 1.98이도록 형성되는 것이 바람직하다.
또 다른 실시예에서(in still another embodiment), 상기 전이금속 산화막은 철산화막(FexOy)으로 형성할 수 있다. 이 경우에, 상기 철 산화막(FexO y)은 철(Fe)의 조성비(x)가 2일 때 상기 산소 조성비(y)가 1.5 내지 2.97이도록 형성되는 것이 바람직하다.
또 다른 실시예에서(in still yet another embodiment), 상기 전이금속 산화막은 니오비움 산화막(NbxOy)으로 형성할 수 있다. 이 경우에, 상기 니오비움 산화막(NbxOy)은 상기 니오비움(Nb)의 조성비(x)가 2일 때 상기 산소 조성비(y)가 2.5 내지 4.95이도록 형성되는 것이 바람직하다.
더 나아가서, 상기 전이금속 산화막은 상술한 과잉 전이금속 함량(excessive transition metal content)을 갖는 전이금속 산화막들의 조합막(combination layer)으로 형성할 수도 있다.
도 5는 본 발명의 일 실시예에 따라 상기 전이금속 산화막을 형성하는 방법을 설명하기 위한 공정 흐름도(process flowchart)이다.
도 5를 참조하면, 상기 하부전극막을 갖는 기판을 공정 챔버 내로 로딩시키고 상기 하부전극막 상에 약 5Å 내지 20Å의 얇은 두께로 전이금속막을 형성한다(단계 51). 상기 전이금속막은 스퍼터링 기술을 사용하여 니켈막, 코발트막, 아연막, 구리막, 하프니움막, 지르코늄막, 타이타늄막, 크롬막, 철막 또는 니오비움막으로 형성할 수 있다. 이어서, 상기 전이금속막을 산소 플라즈마 처리 기술을 사용하여 산화시킨다(단계 53). 상기 산소 플라즈마 처리는 진공 브레이크(vacuum break) 없이 인시투 공정을 사용하여 실시될 수 있다. 상기 산화된 전이금속막(oxidized transition metal layer)의 산소 조성비는 상기 산소 플라즈마 처리의 공정 조건에 따라 변화될 수 있다. 예를 들면, 상기 산화된 전이금속막의 산소 조성비는 산소 플라즈마 처리 시간, 산소의 유량(flow rate) 및/또는 산소 플라즈마를 발생시키기 위한 전력 등에 따라 결정될 수 있다. 계속해서, 상기 산화된 전이금속막들의 누적 두께(cumulative thickness; Ttot)가 원하는 두께(Ts)와 동일하거나 클 때까지 상기 전이금속막을 형성하는 공정(단계 51) 및 상기 산소 플라즈마 처리 공정(단계 53)을 번갈아가면서 반복적으로 진행한다(단계 55).
이와는 달리(alternatively), 상기 전이금속 산화막은 산소 반응 스퍼터링 기술(O2 reactive sputtering technique), 화학기상증착 기술(chemical vapor deposition technique), 또는 원자층증착(atomic layer deposition) 기술을 사용하여 형성할 수 있다.
도 3을 다시 참조하면, 상기 전이금속 산화막 상에 상부전극막을 형성한다. 상기 상부전극막은 내산화성 금속막(oxidation resistant metal layer)으로 형성하는 것이 바람직하다. 이는 상기 상부전극막이 후속의 열공정 동안 산화되는 경우에 상기 하부전극막 및 상기 전이금속 산화막 사이의 계면 특성이 저하될 수 있기 때문이다. 본 발명의 실시예들에서, 상기 상부전극막은 이리디움막(Ir), 백금막(Pt), 이리디움 산화막(IrO), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 루테니움막(Ru) 또는 루테니움 산화막(RuO)으로 형성할 수 있다. 이와는 달리, 상기 상부전극막은 폴리실리콘막으로 형성할 수도 있다.
상기 상부전극막 상에 하드 마스크막을 형성할 수 있다. 상기 하드 마스크막은 상기 상부전극막, 전이금속 산화막, 하부전극막 및 완충막에 대하여 식각 선택비를 갖는 물질막으로 형성할 수 있다. 예를 들면, 상기 하드 마스크막은 실리콘 질화막 또는 타이타늄 질화막으로 형성할 수 있다. 상기 하드 마스크막을 사진/식각 공정을 사용하여 패터닝하여 상기 드레인 패드들(17d', 17d")의 상부에 하드 마스크 패턴들(HM)을 형성한다. 이어서, 상기 하드 마스크 패턴들(HM)을 식각 마스크로 사용하여 상기 상부전극막, 전이금속 산화막, 하부전극막 및 완충막을 식각한다. 그 결과, 상기 제1 및 제2 노드 콘택 플러그들(21d', 21d") 상에 각각 제1 및 제2 데이터 저장요소들(30a, 30b)이 형성되고, 상기 데이터 저장요소들(30a, 30b) 및 상기 제2 층간절연막(19) 사이에 완충막 패턴들(23)이 형성된다. 상기 데이터 저장요소들(30a, 30b)의 각각은 도 3에 도시된 바와 같이 차례로 적층된 하부전극(25), 전이금속 산화막 패턴(27) 및 상부전극(29)을 포함하도록 형성된다. 상기 하드 마스크 패턴들(HM)은 상기 데이터 저장요소들(30a, 30b)을 형성한 후에 제거될 수 있다.
도 4를 참조하면, 상기 데이터 저장요소들(30a, 30b)을 갖는 기판 상에 금속층간 절연막(33)을 형성한다. 상기 금속층간 절연막(33)은 실리콘 산화막으로 형성할 수 있다. 상기 금속층간 절연막(33)을 형성하기 전에 상기 데이터 저장요소들(30a, 30b)을 덮는 캐핑막(31)을 형성할 수 있다. 상기 캐핑막(31)은 상기 금속층간 절연막(33) 내의 실리콘 원자들과 같은 불순물들(impurities)이 상기 전이금속 산화막 패턴들(27) 내로 확산되는 것을 방지하고 상기 금속층간 절연막(33) 및 상기 상/하부 전극들(25, 29) 사이의 접착력을 개선시키기 위하여 형성될 수 있다. 본 발명의 실시예들에서, 상기 캐핑막(31)은 알루미늄 산화막으로 형성할 수 있다.
상기 금속층간 절연막(33) 및 상기 캐핑막(31)을 패터닝하여 상기 상부전극들(29)을 노출시키는 비트라인 콘택홀들을 형성하고, 상기 비트라인 콘택홀들 내에 비트라인 콘택 플러그들(35a, 35b)을 형성한다. 상기 비트라인 콘택 플러그들(35a, 35b)을 갖는 기판 상에 금속막과 같은 도전막을 형성하고, 상기 도전막을 패터닝하여 상기 비트라인 콘택 플러그들(35a, 35b)을 덮는 비트라인(37)을 형성한다. 상기 비트라인(37)은 상기 워드라인들(7a, 7b)의 상부를 가로지르도록 형성될 수 있다.
<실험예들(examples)>
도 6은 본 발명의 실시예들에 따라 제작된 니켈 산화막들(NiO)의 조성비를 구하기 위하여 측정된 엑스선 광전자 스펙트로스코피(x-ray photo electron spectroscopy; XPS) 데이터를 도시한 그래프이다. 도 6에서, 가로축은 니켈 산화막들 내의 원소들의 구속 에너지(binding energy; EB)를 나타내고, 세로축은 상기 니켈 산화막들을 향하여 엑스선(x-ray)이 조사될 때 단위시간(1초) 동안 상기 니켈 산화막들로부터 방출되는 광전자들(photo electrons)의 수량을 나타낸다. 상기 니켈 산화막들(NiO)은 스퍼터링 기술을 사용하여 니켈막을 형성하는 제1 공정 및 상기 니켈막을 산소 플라즈마 처리 기술을 사용하여 소정의 시간(Tp) 동안 산화시키는 제2 공정을 번갈아가면서 반복적으로 진행함으로써 형성되었다. 상기 각 니켈막들(the respective nickel layers)은 10Å의 두께로 형성되었고, 상기 각 산소 플라즈마 처리(the respective oxygen plasma treatments)는 20와트의 라디오 주파수 전력(radio frequency power) 및 2sccm(standard cubic centimeter per minute)의 유량(flow rate)으로 주입되는 산소 가스를 사용하여 실시되었다. 또한, 상기 니켈 산화막들은 400Å의 최종 두께를 갖도록 형성되었다. 상기 XPS 데이터를 측정하기 전에 상기 니켈 산화막들의 표면들에 존재하는 불순물들(impurities)을 제거하기 위하여 아르곤 이온 스퍼터 식각 공정(Argon ion sputter etching process)이 적용되었다. 상기 아르곤 이온 스퍼터 식각 공정은 2kV의 전압을 사용하여 2분 동안 실시되었다.
도 6의 그래프에서, 곡선(101)은 상기 산소 플라즈마 처리 시간(Tp)이 5초인 경우에 형성된 니켈 산화막의 측정 결과(measurement result)에 해당하고, 곡선(102)은 상기 산소 플라즈마 처리 시간(Tp)이 20초인 경우에 형성된 니켈 산화막의 측정 결과(measurement result)에 해당한다. 또한, 곡선(103)은 상기 산소 플라즈마 처리 시간(Tp)이 40초인 경우에 형성된 니켈 산화막의 측정 결과(measurement result)에 해당하고, 곡선(104)은 상기 산소 플라즈마 처리 시간(Tp)이 120초인 경우에 형성된 니켈 산화막의 측정 결과(measurement result)에 해당한다.
도 6에 보여진 측정결과들로부터 얻어진 상기 니켈 산화막들(NixOy)의 산소 조성비들(y)은 니켈 조성비(x)가 1일 때 다음의 [표 1]에 기재된 바와 같다.
XPS 데이터 산소 플라즈마 처리 시간(Tp) 산소 조성비(y) (x가 1일 때)
곡선(101) 5초 0.66
곡선(102) 20초 0.77
곡선(103) 40초 0.84
곡선(104) 120초 0.95
상기 [표 1]로부터 알 수 있듯이, 상기 산소 플라즈마 처리 시간(Tp)이 증가할수록 상기 산소 조성비(y) 역시 증가하였다.
도 7은 본 발명의 실시예들에 따라 제작된 니켈 산화막들(NixOy)을 채택하는 데이터 저장요소들의 전기적인 특성들을 보여주는 그래프이다. 도 7에서, 가로축은 도 6을 참조하여 설명된 상기 산소 플라즈마 처리 시간(Tp)을 나타내고, 좌측의 세로축(the left ordinate)은 상기 니켈 산화막들의 비저항(resistivity; ρ)을 나타내고, 우측의 세로축(the right ordinate)은 상기 데이터 저장요소들의 포밍 전압 (forming voltage; VF)을 나타낸다. 상기 데이터 저장요소들은 평면도로부터 보여질 때 0.3×0.7㎛2 의 직사각형 형태를 갖도록 형성하였고, 상기 데이터 저장요소들의 하부전극 및 상부전극은 500Å의 두께를 갖는 이리디움막으로 형성하였다. 상기 하부전극 및 상부전극 사이에 개재되는 상기 니켈 산화막은 200Å의 최종 두께를 갖도록 형성되었다. 또한, 상기 니켈 산화막은 도 6을 참조하여 설명된 바와 같이 스퍼터링 기술을 사용하여 니켈막을 형성하는 공정 및 산소 플라즈마 처리 기술을 사용하여 상기 니켈막을 산화시키는 공정을 번갈아가면서 반복적으로 실시함으로써 형성되었다. 즉, 상기 니켈막은 10Å의 두께로 형성되었고, 상기 산소 플라즈마 처리는 20와트의 라디오 주파수 전력(radio frequency power) 및 2sccm(standard cubic centimeter per minute)의 유량(flow rate)으로 주입되는 산소 가스를 사용하여 실시되었다.
도 7을 참조하면, 상기 산소 플라즈마 처리 시간(Tp)이 증가할수록 상기 니켈 산화막(NiO)의 비저항(ρ) 역시 증가하였다. 상기 데이터 저장요소들은 상기 산소 플라즈마 처리가 약 5초 내지 200초 동안 진행되었을 때 약 1.5 볼트 내지 8 볼트의 낮은 포밍 전압을 보였다.
한편, 상기 니켈 산화막들(NixOy)은 상기 산소 플라즈마 처리가 5초 내지 120초 동안 진행되었을 때 스위칭 특성을 보였다. 상기 [표 1] 및 도 7로부터 알 수 있듯이, 상기 산소 플라즈마 처리를 5초 동안 실시하였을 때 상기 니켈 산화막(NixOy)은 약 0.66의 산소 조성비(y) 및 약 0.01 (Ωㆍ㎝)의 비저항(ρ)을 보였고, 상기 산소 플라즈마 처리를 120초 동안 실시하였을 때 상기 니켈 산화막(NixOy)은 약 0.95의 산소 조성비(y) 및 약 100 (Ωㆍ㎝)의 비저항(ρ)을 보였다.
도 8은 본 발명의 실시예들에 따라 제작된 여러 가지의 전이금속 산화막들을 채택하는 데이터 저장요소들의 포밍 전압을 도시한 그래프이다. 도 8에 있어서, 가로축은 상기 전이금속 산화막들의 두께(T)를 나타내고, 세로축은 상기 전이금속 산화막들의 포밍전압(VF)을 나타낸다. 상기 데이터 저장요소들의 상부전극 및 하부전극은 500Å의 두께를 갖는 이리디움막으로 형성하였다. 또한, 상기 데이터 저장요소들은 평면도로부터 보여질 때 0.3×0.7 ㎛2의 직사각형 모양을 갖도록 형성되었다.
도 8의 그래프에서, 상기 전이금속 산화막이 니켈 산화막(NixOy)으로 형성되었을 때, 상기 니켈 산화막(NixOy)은 20초의 산소 플라즈마 처리 시간(Tp)을 적용하여 제작되었다. 다시 말해서, 상기 니켈 산화막(NixOy)은 니켈 조성비(x)가 1일 때 0.77의 산소 조성비(y)를 갖도록 형성되었다. 또한, 상기 전이금속 산화막이 하프니움 산화막(HfxOy) 또는 지르코늄 산화막(ZrxOy)으로 제작되었을 때, 상기 하프니움 산화막(HfxOy) 및 지르코늄 산화막(ZrxOy)은 모두 상기 니켈 산화막(NixOy)을 형성하는 방법을 사용하여 형성되었다. 즉, 상기 하프니움 산화막(HfxOy) 및 지르코늄 산화막(ZrxOy)은 스퍼터링 공정 및 산소 플라즈마 처리 공정을 번갈아가면서 반복적으 로 진행함으로써 형성되었다.
한편, 상기 전이금속 산화막이 타이타늄 산화막(TixOy)으로 제작되었을 때, 상기 타이타늄 산화막(TixOy)은 산소 반응 스퍼터링 기술을 사용하여 형성되었다. 이 경우에, 상기 산소 반응 스퍼터링 공정은 10㎾의 전력과 함께 350℃의 온도에서 진행되었다.
도 8의 그래프로부터 알 수 있듯이, 상기 전이금속 산화막들중 상기 니켈 산화막이 가장 낮은 포밍전압을 보였다. 특히, 상기 니켈 산화막이 200Å의 두께로 형성되었을 때, 상기 니켈 산화막은 약 2.5볼트의 낮은 포밍전압을 보였다.
도 9는 본 발명의 실시예들에 따라 제작된 니켈 산화막을 채택하는 데이터 저장요소의 스위칭 특성(전류-전압 곡선; I-V curve)을 도시한 그래프이다. 도 9에 있어서, 가로축은 상기 데이터 저장요소의 상/하부 전극들 사이에 인가되는 전압(VA)을 나타내고, 세로축은 상기 니켈 산화막을 통하여 흐르는 전류(I)를 나타낸다. 상기 데이터 저장요소의 상/하부 전극들은 500Å의 두께를 갖는 이리디움막으로 형성하였고, 상기 니켈 산화막은 200Å의 최종 두께를 갖도록 형성되었다. 또한, 상기 니켈 산화막은 스퍼터링 기술을 사용하여 10Å의 두께를 갖는 니켈막을 형성하는 제1 공정 및 산소 플라즈마 처리 기술을 사용하여 상기 니켈막을 산화시키는 제2 공정을 번갈아가면서 반복적으로 실시함으로써 형성되었다. 상기 제2 공정, 즉 상기 산소 플라즈마 처리는 20와트의 라디오 주파수 전력(radio frequency power) 및 2sccm(standard cubic centimeter per minute)의 유량(flow rate)으로 주입되는 산소 가스를 사용하여 20초 동안 실시되었다. 또한, 상기 데이터 저장요소는 평면도로부터 보여질 때 0.3×0.7 ㎛2의 직사각형 모양을 갖도록 형성되었다.
도 9를 참조하면, 상기 상/하부 전극들 사이에 약 0.5볼트의 전압이 인가되었을 때, 상기 니켈 산화막은 높은 저항을 갖는 리셋 상태로 스위칭되었다. 또한, 상기 상/하부 전극들 사이에 약 1.1볼트의 전압이 인가되었을 때, 상기 니켈 산화막은 낮은 저항을 갖는 셋 상태로 스위칭되었다. 상기 니켈 산화막을 셋 상태로 변화시키기 위하여 상기 상/하부 전극들 사이에 1.0 볼트보다 높은 전압을 인가하는 동안 약 0.5㎃의 최대 허용전류 제한값(current compliance)을 적용하였다. 이는, 상기 셋 상태를 갖는 니켈 산화막을 통하여 큰 전류가 흐르는 경우에 상기 니켈 산화막이 손상되는 것을 방지하기 위함이다. 본 실시예들에 따라 제작된 상기 니켈 산화막은 도 9에 보여진 바와 같이 원점(0볼트의 전압 및 0㎃의 전류를 나타내는 점)에 대하여 대칭인 특성을 보였다.
도 10은 본 발명의 실시예들에 따라 제작된 니켈 산화막을 갖는 데이터 저장요소의 스위칭 내구성 테스트 결과(switching endurance test result)를 도시한 그래프이다. 상기 데이터 저장요소는 도 9를 참조하여 설명된 것과 동일한 방법을 사용하여 제작되었다. 도 10에서, 가로축은 스위칭 동작의 회수(number of switching operations; N), 즉 싸이클 회수(number of cycles)를 나타내고, 세로축은 상기 데이터 저장요소의 전기적인 저항(R)을 나타낸다. 상기 데이터 저장요소를 리셋 상태로 스위칭시키기 위하여 상기 상/하부 전극들 사이에 1㎳(milli-second) 동안 0.8 볼트의 리셋 전압을 인가하였다. 또한, 상기 데이터 저장요소를 셋 상태로 스위칭시키기 위하여 상기 상/하부 전극들 사이에 1㎳(milli-second) 동안 1.5볼트의 셋 전압을 인가하였다. 상기 셋 전압이 인가되는 동안 0.5 ㎃의 최대 허용전류 제한값(current compliance)을 적용하였다.
도 10으로부터 알 수 있듯이, 상기 데이터 저장요소는 상기 리셋 동작 및 셋 동작의 각각이 약 1×106 번(times) 실시되었을지라도 약 1,000(Ω)보다 낮은 셋 저항값(Rs) 및 약 10,000(Ω)보다 높은 리셋 저항값(Rr)을 보였다.
상술한 바와 같이 본 발명에 따르면, 전이금속 산화막의 조성비를 적절히 조절함으로써 상기 전이금속 산화막을 채택하는 비휘발성 기억 셀의 동작 전압들을 낮출 수 있다. 따라서, 고성능 저항램 소자(high performance resistance RAM device)를 용이하게 구현할 수 있다.

Claims (35)

  1. 반도체기판 상에 형성된 절연막;
    상기 절연막 상에 형성되고 서로 중첩된 하부전극 및 상부전극; 및
    상기 상부전극 및 상기 하부전극 사이에 개재되고 화학식 MxOy로 표현되는 전이금속 산화막 패턴을 포함하되, 상기 화학식의 상기 기호들(characters) "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비(transition metal composition) 및 산소 조성비(oxygen composition)을 나타내고, 상기 전이금속 산화막 패턴은 그것의 안정한 상태에 비하여 과잉 전이금속(excessive transition metal)을 함유하는(contain) 것을 특징으로 하는 비휘발성 기억 셀.
  2. 제 1 항에 있어서,
    상기 전이금속(M)이 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)이고 상기 전이금속 조성비(x)가 1일 때, 상기 산소 조성비(y)는 1보다 작은 0.5 내지 0.99인 것을 특징으로 하는 비휘발성 기억 셀.
  3. 제 1 항에 있어서,
    상기 전이금속(M)이 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)이고 상기 전이금속 조성비(x)가 1일 때, 상기 산소 조성비(y)는 2보다 작은 1.0 내지 1.98인 것을 특징으로 하는 비휘발성 기억 셀.
  4. 제 1 항에 있어서,
    상기 전이금속(M)이 철(Fe)이고 상기 전이금속 조성비(x)가 2일 때, 상기 산소 조성비(y)는 3보다 작은 1.5 내지 2.97인 것을 특징으로 하는 비휘발성 기억 셀.
  5. 제 1 항에 있어서,
    상기 전이금속(M)이 니오비움(Nb)이고 상기 전이금속 조성비(x)가 2일 때, 상기 산소 조성비(y)는 5보다 작은 2.5 내지 4.95인 것을 특징으로 하는 비휘발성 기억 셀.
  6. 제 1 항에 있어서,
    상기 하부전극은 이리디움막, 백금막, 이리디움 산화막, 타이타늄 질화막, 타이타늄 알루미늄 질화막(TiAlN), 루테니움막, 루테니움 산화막, 또는 폴리실리콘막인 것을 특징으로 하는 비휘발성 기억 셀.
  7. 제 1 항에 있어서,
    상기 상부전극은 이리디움막, 백금막, 이리디움 산화막, 타이타늄 질화막, 타이타늄 알루미늄 질화막(TiAlN), 루테니움막, 루테니움 산화막, 또는 폴리실리콘 막인 것을 특징으로 하는 비휘발성 기억 셀.
  8. 제 1 항에 있어서,
    상기 절연막을 관통하여 상기 하부전극을 상기 반도체기판에 전기적으로 접속시키는 콘택 플러그를 더 포함하는 것을 특징으로 하는 비휘발성 기억 셀.
  9. 제 8 항에 있어서,
    상기 하부전극 및 상기 절연막 사이에 개재되고 상기 콘택 플러그를 덮도록 연장된 완충막 패턴을 더 포함하는 것을 특징으로 하는 비휘발성 기억 셀.
  10. 제 9 항에 있어서,
    상기 완충막 패턴은 타이타늄막(Ti), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 탄탈륨막(Ta) 또는 탄탈륨 질화막(TaN)을 포함하는 것을 특징으로 하는 비휘발성 기억 셀.
  11. 제 9 항에 있어서,
    상기 완충막 패턴은 차례로 적층된 하부 완충막 패턴 및 상부 완충막 패턴을 포함하는 것을 특징으로 하는 비휘발성 기억 셀.
  12. 제 11 항에 있어서,
    상기 하부 완충막 패턴은 타이타늄막(Ti), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 탄탈륨막(Ta) 및 탄탈륨 질화막(TaN)들중 어느 하나이고, 상기 상부 완충막 패턴은 타이타늄막(Ti), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 탄탈륨막(Ta) 및 탄탈륨 질화막(TaN)들중 어느 하나인 것을 특징으로 하는 비휘발성 기억 셀.
  13. 제 1 항에 있어서,
    상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 갖는 기판 상에 형성된 금속층간 절연막; 및
    상기 금속층간 절연막 상에 형성되고 상기 상부전극에 전기적으로 접속된 비트라인을 더 포함하는 것을 특징으로 하는 비휘발성 기억 셀.
  14. 제 1 항에 있어서,
    상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 덮는 캐핑막;
    상기 캐핑막을 갖는 기판 상에 형성된 금속층간 절연막; 및
    상기 금속층간 절연막 상에 형성되고 상기 상부전극에 전기적으로 접속된 비트라인을 더 포함하는 것을 특징으로 하는 비휘발성 기억 셀.
  15. 제 14 항에 있어서,
    상기 캐핑막은 알루미늄 산화막인 것을 특징으로 하는 비휘발성 기억 셀.
  16. 제 1 항에 있어서,
    상기 반도체기판에 형성된 억세스 트랜지스터를 더 포함하되, 상기 억세스 트랜지스터는 상기 반도체기판 내에 형성된 소오스 영역 및 드레인 영역과 아울러서 상기 소오스/드레인 영역들 사이의 채널 영역의 상부를 가로지르는 게이트 전극을 갖고, 상기 하부전극은 상기 드레인 영역에 전기적으로 접속되는 것을 특징으로 하는 비휘발성 기억 셀.
  17. 반도체기판 상에 절연막을 형성하는 것과,
    상기 절연막 상에 하부전극막을 형성하는 것과,
    상기 하부전극막 상에 화학식 MxOy로 표현되는 전이금속 산화막을 형성하되, 상기 화학식의 상기 기호들(characters) "M", "O", "x" 및 "y"는 각각 전이금속, 산소, 전이금속 조성비(transition metal composition) 및 산소 조성비(oxygen composition)를 나타내고, 상기 전이금속 산화막은 그것의 안정한 상태에 비하여 과잉 전이금속(excessive transition metal)을 함유하도록(contain) 형성되고,
    상기 전이금속 산화막 상에 상부전극막을 형성하는 것과,
    상기 상부전극막, 상기 전이금속 산화막 및 상기 하부전극막을 패터닝하여 차례로 적층된 하부전극, 전이금속 산화막 패턴 및 상부전극을 형성하는 것을 포함 하는 비휘발성 기억 셀의 제조방법.
  18. 제 17 항에 있어서,
    상기 하부전극막은 이리디움막, 백금막, 이리디움 산화막, 타이타늄 질화막, 타이타늄 알루미늄 질화막(TiAlN), 루테니움막, 루테니움 산화막, 또는 폴리실리콘막으로 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  19. 제 17 항에 있어서,
    상기 상부전극막은 이리디움막, 백금막, 이리디움 산화막, 타이타늄 질화막, 타이타늄 알루미늄 질화막(TiAlN), 루테니움막, 루테니움 산화막, 또는 폴리실리콘막으로 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  20. 제 17 항에 있어서,
    상기 전이금속 산화막은 상기 하부전극막 상에 전이금속막을 형성하는 공정 및 상기 전이금속막을 산소 플라즈마 처리를 사용하여 산화시키는 공정을 적어도 2회 번갈아가면서 반복적으로(alternatively and repeatedly) 실시함으로써 형성되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  21. 제 20 항에 있어서,
    상기 전이금속막은 스퍼터링 기술을 사용하여 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  22. 제 20 항에 있어서,
    상기 산소 플라즈마 처리는 인시투 공정을 사용하여 실시하는 것을 특징으로 하는 특징으로 하는 비휘발성 기억 셀의 제조방법.
  23. 제 17 항에 있어서,
    상기 전이금속 산화막은 산소 반응 스퍼터링 기술(O2 reactive sputtering technique), 화학기상증착(chemical vapor deposition) 기술 또는 원자층증착(atomic layer deposition) 기술을 사용하여 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  24. 제 17 항에 있어서,
    상기 전이금속(M)이 니켈(Ni), 코발트(Co), 아연(Zn) 또는 구리(Cu)일 때, 상기 전이금속 산화막은 1의 상기 전이금속 조성비(x) 및 0.5 내지 0.99의 상기 산소 조성비(y)를 갖도록 형성되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  25. 제 17 항에 있어서,
    상기 전이금속(M)이 하프니움(Hf), 지르코늄(Zr), 타이타늄(Ti) 또는 크롬(Cr)일 때, 상기 전이금속 산화막은 1의 상기 전이금속 조성비(x) 및 1.0 내지 1.98의 상기 산소 조성비(y)를 갖도록 형성되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  26. 제 17항에 있어서,
    상기 전이금속(M)이 철(Fe)일 때, 상기 전이금속 산화막은 2의 상기 전이금속 조성비(x) 및 1.5 내지 2.97의 상기 산소 조성비(y)를 갖도록 형성되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  27. 제 17 항에 있어서,
    상기 전이금속(M)이 니오비움(Nb)일 때, 상기 전이금속 산화막은 2의 상기 전이금속 조성비(x) 및 2.5 내지 4.95의 상기 산소 조성비(y)를 갖도록 형성되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  28. 제 17 항에 있어서,
    상기 절연막을 형성하기 전에 상기 반도체기판에 억세스 트랜지스터를 형성하되, 상기 억세스 트랜지스터는 상기 반도체기판에 형성된 소오스 영역 및 드레인 영역과 아울러서 상기 소오스/드레인 영역들 사이의 채널 영역 상부에 형성된 게이트 전극을 갖도록 형성되고,
    상기 절연막 내에 콘택 플러그를 형성하는 것을 더 포함하되, 상기 콘택 플러그는 상기 하부전극을 상기 드레인 영역에 전기적으로 접속시키도록 형성되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  29. 제 28 항에 있어서,
    상기 하부전극막을 형성하기 전에 상기 절연막 및 상기 콘택 플러그를 덮는 완충막을 형성하는 것을 더 포함하되, 상기 완충막은 상기 하부전극을 형성한 후에 연속적으로 패터닝되는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  30. 제 29 항에 있어서,
    상기 완충막은 타이타늄막(Ti), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 탄탈륨막(Ta) 또는 탄탈륨 질화막(TaN)으로 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  31. 제 29 항에 있어서,
    상기 완충막은 하부 완충막 및 상부 완충막을 차례로 적층시키어 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  32. 제 31 항에 있어서,
    상기 하부 완충막은 타이타늄막(Ti), 타이타늄 질화막(TiN), 타이타늄 알루 미늄 질화막(TiAlN), 탄탈륨막(Ta) 또는 탄탈륨 질화막(TaN)으로 형성하고, 상기 상부 완충막은 타이타늄막(Ti), 타이타늄 질화막(TiN), 타이타늄 알루미늄 질화막(TiAlN), 탄탈륨막(Ta) 또는 탄탈륨 질화막(TaN)으로 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  33. 제 17 항에 있어서,
    상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 갖는 기판 상에 금속층간 절연막을 형성하는 것과,
    상기 금속층간 절연막 상에 형성되고 상기 상부전극에 전기적으로 접속된 비트라인을 형성하는 것을 더 포함하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  34. 제 33 항에 있어서,
    상기 금속층간 절연막을 형성하기 전에 상기 하부전극, 상기 전이금속 산화막 패턴 및 상기 상부전극을 덮는 캐핑막을 형성하는 것을 더 포함하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
  35. 제 34 항에 있어서,
    상기 캐핑막은 알루미늄 산화막으로 형성하는 것을 특징으로 하는 비휘발성 기억 셀의 제조방법.
KR1020040072754A 2004-09-10 2004-09-10 전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들 KR100593448B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020040072754A KR100593448B1 (ko) 2004-09-10 2004-09-10 전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들
US11/179,319 US7420198B2 (en) 2004-09-10 2005-07-12 Non-volatile memory cells employing a transition metal oxide layer as a data storage material layer and methods of manufacturing the same
US12/200,190 US7741669B2 (en) 2004-09-10 2008-08-28 Nonvolatile memory cells employing a transition metal oxide layers as a data storage material layer and methods of manufacturing the same
US12/781,907 US7994557B2 (en) 2004-09-10 2010-05-18 Non-volatile memory cells employing a transition metal oxide layer as a data storage material layer and methods of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040072754A KR100593448B1 (ko) 2004-09-10 2004-09-10 전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들

Publications (2)

Publication Number Publication Date
KR20060023860A KR20060023860A (ko) 2006-03-15
KR100593448B1 true KR100593448B1 (ko) 2006-06-28

Family

ID=36032991

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040072754A KR100593448B1 (ko) 2004-09-10 2004-09-10 전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들

Country Status (2)

Country Link
US (3) US7420198B2 (ko)
KR (1) KR100593448B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101460823B1 (ko) * 2007-02-05 2014-11-11 인터몰레큘러 인코퍼레이티드 저항 스위칭 메모리 소자를 형성하는 방법

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
KR101043539B1 (ko) * 2005-04-04 2011-06-21 주식회사 팬택 측량기능을 갖는 이동통신단말기 및 그 제어방법
JP5049491B2 (ja) * 2005-12-22 2012-10-17 パナソニック株式会社 電気素子,メモリ装置,および半導体集積回路
US7531825B2 (en) * 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
JP4857014B2 (ja) * 2006-04-19 2012-01-18 パナソニック株式会社 抵抗変化素子とそれを用いた抵抗変化型メモリ
KR101275800B1 (ko) * 2006-04-28 2013-06-18 삼성전자주식회사 가변 저항 물질을 포함하는 비휘발성 메모리 소자
DE102006023608B4 (de) * 2006-05-19 2009-09-03 Qimonda Ag Programmierbare resistive Speicherzelle mit einer programmierbaren Widerstandsschicht und Verfahren zur Herstellung
US7649242B2 (en) * 2006-05-19 2010-01-19 Infineon Technologies Ag Programmable resistive memory cell with a programmable resistance layer
US20070267621A1 (en) * 2006-05-19 2007-11-22 Infineon Technologies Ag Resistive memory device
KR101206034B1 (ko) 2006-05-19 2012-11-28 삼성전자주식회사 산소결핍 금속산화물을 이용한 비휘발성 메모리 소자 및 그제조방법
DE102006023609A1 (de) * 2006-05-19 2007-11-22 Infineon Technologies Ag Programmierbare resistive Speicherzelle mit einer programmierbaren Widerstandsschicht
KR100790882B1 (ko) * 2006-07-10 2008-01-03 삼성전자주식회사 가변 저항 물질을 포함하는 비휘발성 메모리 소자
US7635623B2 (en) 2006-07-17 2009-12-22 Micron Technology, Inc. Methods of forming capacitors
KR100824294B1 (ko) * 2006-08-01 2008-04-22 한국화학연구원 화학 증착법에 의한 비휘발성 ReRAM 소자용 철 산화물박막의 제조 방법
US7524722B2 (en) * 2006-10-12 2009-04-28 Macronix International Co., Ltd. Resistance type memory device and fabricating method and operating method thereof
KR100858082B1 (ko) * 2006-10-17 2008-09-10 삼성전자주식회사 니켈 산화물층의 식각 방법
KR100790894B1 (ko) * 2006-11-15 2008-01-03 삼성전자주식회사 저항성 메모리 소자
US8067762B2 (en) 2006-11-16 2011-11-29 Macronix International Co., Ltd. Resistance random access memory structure for enhanced retention
KR100982424B1 (ko) 2006-11-28 2010-09-15 삼성전자주식회사 저항 메모리 소자의 제조 방법
CN101536188B (zh) * 2006-11-30 2010-09-29 富士通株式会社 电阻存储元件及其制造方法、非易失性半导体存储装置
WO2008075414A1 (ja) 2006-12-19 2008-06-26 Fujitsu Limited 抵抗変化素子の製造方法
KR20080064353A (ko) * 2007-01-04 2008-07-09 삼성전자주식회사 저항 메모리 소자 및 그 제조 방법
KR101313784B1 (ko) 2007-01-12 2013-10-01 삼성전자주식회사 저항성 메모리 소자 및 그 제조방법
US7972897B2 (en) * 2007-02-05 2011-07-05 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7704789B2 (en) * 2007-02-05 2010-04-27 Intermolecular, Inc. Methods for forming resistive switching memory elements
KR100898897B1 (ko) 2007-02-16 2009-05-27 삼성전자주식회사 비휘발성 메모리 소자 및 그 형성방법
JP2008205191A (ja) * 2007-02-20 2008-09-04 Toshiba Corp 不揮発性半導体メモリ素子および不揮発性半導体メモリ装置
WO2008107941A1 (ja) 2007-03-01 2008-09-12 Fujitsu Limited 半導体装置及びその製造方法
US8097878B2 (en) * 2007-03-05 2012-01-17 Intermolecular, Inc. Nonvolatile memory elements with metal-deficient resistive-switching metal oxides
US7629198B2 (en) * 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
KR101432344B1 (ko) * 2007-03-05 2014-08-20 인터몰레큘러 인코퍼레이티드 저항 스위칭 금속 산화물을 가진 비휘발성 메모리 소자를 형성하는 방법
US20080247215A1 (en) * 2007-04-03 2008-10-09 Klaus Ufert Resistive switching element
DE102007016066A1 (de) * 2007-04-03 2008-10-09 Qimonda Ag Widerstandsschaltelement
JP5526776B2 (ja) * 2007-04-17 2014-06-18 日本電気株式会社 抵抗変化素子及び該抵抗変化素子を含む半導体装置
US8975613B1 (en) 2007-05-09 2015-03-10 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
WO2008140979A1 (en) * 2007-05-09 2008-11-20 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
US7863087B1 (en) 2007-05-09 2011-01-04 Intermolecular, Inc Methods for forming resistive-switching metal oxides for nonvolatile memory elements
JP4967176B2 (ja) * 2007-05-10 2012-07-04 シャープ株式会社 可変抵抗素子とその製造方法及び不揮発性半導体記憶装置
JPWO2008149605A1 (ja) * 2007-06-04 2010-08-19 日本電気株式会社 抵抗変化素子およびこれを備えた半導体装置
US7800094B2 (en) * 2007-06-11 2010-09-21 Macronix International Co., Ltd. Resistance memory with tungsten compound and manufacturing
US7688616B2 (en) * 2007-06-18 2010-03-30 Taiwan Semicondcutor Manufacturing Company, Ltd. Device and method of programming a magnetic memory element
US7777215B2 (en) * 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US7881092B2 (en) * 2007-07-24 2011-02-01 Rising Silicon, Inc. Increased switching cycle resistive memory element
US8101937B2 (en) 2007-07-25 2012-01-24 Intermolecular, Inc. Multistate nonvolatile memory elements
US8294219B2 (en) * 2007-07-25 2012-10-23 Intermolecular, Inc. Nonvolatile memory element including resistive switching metal oxide layers
US8154003B2 (en) * 2007-08-09 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive non-volatile memory device
WO2009025037A1 (ja) * 2007-08-22 2009-02-26 Fujitsu Limited 抵抗変化型素子
KR101326077B1 (ko) * 2007-08-24 2013-11-07 삼성전자주식회사 저항성 메모리 소자
US8183553B2 (en) * 2009-04-10 2012-05-22 Intermolecular, Inc. Resistive switching memory element including doped silicon electrode
US8143092B2 (en) 2008-03-10 2012-03-27 Pragati Kumar Methods for forming resistive switching memory elements by heating deposited layers
US8343813B2 (en) * 2009-04-10 2013-01-01 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
US7960216B2 (en) * 2008-05-10 2011-06-14 Intermolecular, Inc. Confinement techniques for non-volatile resistive-switching memories
KR20090106887A (ko) * 2008-04-07 2009-10-12 삼성전자주식회사 반도체 소자 및 그 제조방법
US8373149B2 (en) * 2008-04-07 2013-02-12 Nec Corporation Resistance change element and manufacturing method thereof
KR100969128B1 (ko) 2008-05-08 2010-07-09 엘지이노텍 주식회사 발광 소자 및 그 제조방법
US20100188884A1 (en) * 2008-05-08 2010-07-29 Satoru Mitani Nonvolatile memory element, nonvolatile memory apparatus, and method of writing data to nonvolatile memory element
US7977152B2 (en) * 2008-05-10 2011-07-12 Intermolecular, Inc. Non-volatile resistive-switching memories formed using anodization
KR100960934B1 (ko) * 2008-05-16 2010-06-04 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US8008096B2 (en) * 2008-06-05 2011-08-30 Intermolecular, Inc. ALD processing techniques for forming non-volatile resistive-switching memories
TW201005880A (en) * 2008-07-23 2010-02-01 Nanya Technology Corp Method of fabricating RRAM
US9385314B2 (en) 2008-08-12 2016-07-05 Industrial Technology Research Institute Memory cell of resistive random access memory and manufacturing method thereof
DE102008050762A1 (de) * 2008-10-08 2010-05-12 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Schaltbauelement oder Speicherbauelement hoher Speicherdichte auf Basis Hf- oder Zr-haltiger Dielektrika
US8049305B1 (en) 2008-10-16 2011-11-01 Intermolecular, Inc. Stress-engineered resistance-change memory device
KR20100049824A (ko) * 2008-11-04 2010-05-13 삼성전자주식회사 저항 메모리 장치 및 그 제조 방법.
US8304754B2 (en) * 2008-11-12 2012-11-06 Sandisk 3D Llc Metal oxide materials and electrodes for Re-RAM
EP2202816B1 (en) * 2008-12-24 2012-06-20 Imec Method for manufacturing a resistive switching memory device
US8455855B2 (en) * 2009-01-12 2013-06-04 Micron Technology, Inc. Memory cell having dielectric memory element
KR101055406B1 (ko) * 2009-02-18 2011-08-08 국민대학교산학협력단 저항변화 메모리 소자 제조방법 및 이에 따라 제조된 저항변화 메모리 소자
US8420478B2 (en) * 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
KR101105981B1 (ko) * 2009-04-28 2012-01-18 한양대학교 산학협력단 저항변화 메모리 소자 및 이의 제조방법
EP2259267B1 (en) * 2009-06-02 2013-08-21 Imec Method for manufacturing a resistive switching memory cell comprising a nickel oxide layer operable at low-power and memory cells obtained thereof
KR20110021405A (ko) * 2009-08-26 2011-03-04 삼성전자주식회사 저항성 메모리 소자
WO2011043448A1 (ja) * 2009-10-09 2011-04-14 日本電気株式会社 半導体装置及びその製造方法
US8072795B1 (en) 2009-10-28 2011-12-06 Intermolecular, Inc. Biploar resistive-switching memory with a single diode per memory cell
US8487292B2 (en) * 2010-03-16 2013-07-16 Sandisk 3D Llc Resistance-switching memory cell with heavily doped metal oxide layer
KR20110132125A (ko) * 2010-06-01 2011-12-07 삼성전자주식회사 비휘발성 메모리 소자 및 비휘발성 메모리 소자의 형성방법
CN102270654B (zh) * 2010-06-03 2013-10-16 北京大学 阻变随机访问存储器件及其制造和操作方法
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
JP5161946B2 (ja) * 2010-09-30 2013-03-13 シャープ株式会社 不揮発性半導体記憶装置
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8502343B1 (en) 2010-11-17 2013-08-06 The University Of Toledo Nanoelectric memristor device with dilute magnetic semiconductors
CN102651233B (zh) * 2011-02-25 2015-08-19 中国科学院微电子研究所 复合存储器
CN102683584B (zh) * 2011-03-18 2014-04-02 中国科学院微电子研究所 集成标准cmos工艺的金属氧化物电阻存储器及其制备方法
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
JP5480233B2 (ja) * 2011-12-20 2014-04-23 株式会社東芝 不揮発性記憶装置、及びその製造方法
CN102543891B (zh) * 2012-01-05 2014-09-03 复旦大学 栅控二极管半导体存储器器件的制备方法
CN102543886B (zh) * 2012-01-05 2014-09-03 复旦大学 一种栅控二极管半导体存储器器件的制造方法
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US9112138B2 (en) 2012-06-14 2015-08-18 Micron Technology, Inc. Methods of forming resistive memory elements
WO2014020478A2 (en) * 2012-08-03 2014-02-06 Ecole Polytechnique Federale De Lausanne (Epfl) Resistive switching element and use thereof
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9129894B2 (en) * 2012-09-17 2015-09-08 Intermolecular, Inc. Embedded nonvolatile memory elements having resistive switching characteristics
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US9231197B2 (en) 2012-11-12 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Logic compatible RRAM structure and process
US8742390B1 (en) * 2012-11-12 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Logic compatible RRAM structure and process
KR102043734B1 (ko) * 2013-04-23 2019-11-12 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법, 이 반도체 장치를 포함하는 마이크로 프로세서, 프로세서, 시스템, 데이터 저장 시스템 및 메모리 시스템
US9000407B2 (en) 2013-05-28 2015-04-07 Intermolecular, Inc. ReRAM materials stack for low-operating-power and high-density applications
US9837605B2 (en) 2013-08-16 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having resistance variable film and method of making the same
US9246094B2 (en) 2013-12-26 2016-01-26 Intermolecular, Inc. Stacked bi-layer as the low power switchable RRAM
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
KR102200497B1 (ko) * 2014-07-07 2021-01-11 삼성전자주식회사 반도체 기억 소자 및 그 제조방법
KR102210329B1 (ko) 2014-08-14 2021-02-01 삼성전자주식회사 저항 변화 메모리 소자 및 그 제조 방법
US9543511B2 (en) * 2015-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device
US9515158B1 (en) * 2015-10-20 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with insertion layer and method for manufacturing the same
US20170117464A1 (en) * 2015-10-22 2017-04-27 Winbond Electronics Corp. Resistive random access memory device
CN106654004B (zh) * 2015-10-29 2019-03-19 华邦电子股份有限公司 电阻式存储器及其制造方法
FR3044823B1 (fr) * 2015-12-02 2017-12-22 Commissariat Energie Atomique Dispositif a transistors repartis sur plusieurs niveaux superposes integrant une memoire resistive
US9653682B1 (en) * 2016-02-05 2017-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Resistive random access memory structure
WO2018009156A1 (en) * 2016-07-02 2018-01-11 Intel Corporation Rram devices and their methods of fabrication
WO2018063207A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Resistive random access memory cell
US10164179B2 (en) * 2017-01-13 2018-12-25 International Business Machines Corporation Memristive device based on alkali-doping of transitional metal oxides
US11289651B2 (en) * 2017-09-01 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having via landing protection
US10727401B2 (en) * 2017-11-10 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory
US10297749B1 (en) 2017-12-12 2019-05-21 International Business Machines Corporation High density resistive random access memory integrated on complementary metal oxide semiconductor
US10672983B2 (en) * 2018-06-27 2020-06-02 International Business Machines Corporation Compact resistive random access memory integrated with a pass gate transistor
US11031543B2 (en) * 2018-10-23 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Via landing enhancement for memory device
US11462684B2 (en) * 2018-12-19 2022-10-04 Intel Corporation Retention improvement by high-k encapsulation of RRAM devices
US10847720B1 (en) * 2019-06-20 2020-11-24 Globalfoundries Singapore Pte. Ltd. Non-volatile memory elements with filament confinement
CN113629013B (zh) * 2021-07-01 2024-03-15 芯盟科技有限公司 一种存储器件的制造方法及存储器

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472296A (en) 1982-06-21 1984-09-18 Iowa State University Research Foundation, Inc. Bulk, polycrystalline switching materials for threshold and/or memory switching
FR2658645B1 (fr) 1990-02-16 1994-10-07 Sitour Electronic Systems Installation comportant un ensemble de modules d'affichage commandes a distance.
JPH08133841A (ja) 1994-11-15 1996-05-28 Shin Etsu Chem Co Ltd セラミックスコンポジット基材
KR19990035958A (ko) 1995-07-28 1999-05-25 미가꾸 다까하시 자기저항소자 및 그 제조방법
US6077618A (en) 1996-05-28 2000-06-20 Shimadzu Corporation Magneto-resistance effect element, magneto-resistance effect type head, memory element, and method for manufacturing them
JPH1136013A (ja) 1997-07-17 1999-02-09 Harima Ceramic Co Ltd 不定形耐火物内張りの乾燥方法
JPH1135958A (ja) 1997-07-18 1999-02-09 Ebara Corp 低品質炭の有価物製造法
US6204139B1 (en) 1998-08-25 2001-03-20 University Of Houston Method for switching the properties of perovskite materials used in thin film resistors
US6429497B1 (en) 2000-11-18 2002-08-06 Hewlett-Packard Company Method for improving breakdown voltage in magnetic tunnel junctions
US6531371B2 (en) 2001-06-28 2003-03-11 Sharp Laboratories Of America, Inc. Electrically programmable resistance cross point memory
US6937350B2 (en) 2001-06-29 2005-08-30 Massachusetts Institute Of Technology Apparatus and methods for optically monitoring thickness
KR100420122B1 (ko) * 2001-07-21 2004-03-02 삼성전자주식회사 강유전체 메모리 장치 및 그 제조방법
US6656748B2 (en) * 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
US6759249B2 (en) 2002-02-07 2004-07-06 Sharp Laboratories Of America, Inc. Device and method for reversible resistance change induced by electric pulses in non-crystalline perovskite unipolar programmable memory
JP2003283000A (ja) 2002-03-27 2003-10-03 Toshiba Corp 磁気抵抗効果素子およびこれを有する磁気メモリ
US6583003B1 (en) 2002-09-26 2003-06-24 Sharp Laboratories Of America, Inc. Method of fabricating 1T1R resistive memory array
KR100773537B1 (ko) * 2003-06-03 2007-11-07 삼성전자주식회사 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법
KR20050082357A (ko) * 2004-02-18 2005-08-23 엘지전자 주식회사 플라즈마 디스플레이 패널 소자 상판 유전체 조성 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101460823B1 (ko) * 2007-02-05 2014-11-11 인터몰레큘러 인코퍼레이티드 저항 스위칭 메모리 소자를 형성하는 방법

Also Published As

Publication number Publication date
US20060054950A1 (en) 2006-03-16
US20090008620A1 (en) 2009-01-08
KR20060023860A (ko) 2006-03-15
US7994557B2 (en) 2011-08-09
US7741669B2 (en) 2010-06-22
US20100224850A1 (en) 2010-09-09
US7420198B2 (en) 2008-09-02

Similar Documents

Publication Publication Date Title
KR100593448B1 (ko) 전이금속 산화막을 데이터 저장 물질막으로 채택하는비휘발성 기억 셀들 및 그 제조방법들
US7961496B2 (en) Resistive memory cells and devices having asymmetrical contacts
US9680095B2 (en) Resistive RAM and fabrication method
KR100593750B1 (ko) 이성분계 금속 산화막을 데이터 저장 물질막으로 채택하는교차점 비휘발성 기억소자 및 그 제조방법
US10038139B2 (en) One transistor and one resistive random access memory (RRAM) structure with spacer
US8338814B2 (en) Resistive random access memory, nonvolatile memory, and method of manufacturing resistive random access memory
US7667220B2 (en) Multilevel-cell memory structures employing multi-memory with tungsten oxides and manufacturing method
US9431604B2 (en) Resistive random access memory (RRAM) and method of making
US6583003B1 (en) Method of fabricating 1T1R resistive memory array
US8563962B2 (en) Memory device and method of manufacturing the same
US9799706B2 (en) Resistive random access memory device embedding tunnel insulating layer and memory array using the same and fabrication method thereof
KR20100078943A (ko) 저항성 램 소자의 제조방법
US20090302301A1 (en) Resistance ram device having a carbon nano-tube and method for manufacturing the same
US11107983B2 (en) Resistive random access memory array and manufacturing method thereof
JP5464148B2 (ja) 抵抗変化素子
US9391270B1 (en) Memory cells with vertically integrated tunnel access device and programmable impedance element
CN109728163B (zh) 一种阻变存储器及其制造方法
US11770987B2 (en) Resistive random-access memory and method for fabricating the same
US20220123208A1 (en) Memristor having metal/ion channels formed in insulating layer and resistive switching memory device including the same
TWI478161B (zh) 具有場增強排列的記憶體裝置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160531

Year of fee payment: 11