KR100434807B1 - 원형의제품을이송하는이송장치 - Google Patents

원형의제품을이송하는이송장치 Download PDF

Info

Publication number
KR100434807B1
KR100434807B1 KR1019960062027A KR19960062027A KR100434807B1 KR 100434807 B1 KR100434807 B1 KR 100434807B1 KR 1019960062027 A KR1019960062027 A KR 1019960062027A KR 19960062027 A KR19960062027 A KR 19960062027A KR 100434807 B1 KR100434807 B1 KR 100434807B1
Authority
KR
South Korea
Prior art keywords
product
light sensing
displaceable
arrangement
sensing means
Prior art date
Application number
KR1019960062027A
Other languages
English (en)
Other versions
KR970042177A (ko
Inventor
징거 얀
한스트라 코르넬리우스
쉼멜 루디
Original Assignee
에이에스엠 인터내쇼날 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내쇼날 엔.브이. filed Critical 에이에스엠 인터내쇼날 엔.브이.
Publication of KR970042177A publication Critical patent/KR970042177A/ko
Application granted granted Critical
Publication of KR100434807B1 publication Critical patent/KR100434807B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G61/00Use of pick-up or transfer devices or of manipulators for stacking or de-stacking articles not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67796Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations with angular orientation of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Abstract

본 발명은 대체로 원형인 물품을 제 1 언로딩 위치로부터 제 2 로딩 위치로 운반하는 장치에 관한 것이다. 제 2 로딩 위치에서의 정확한 위치를 얻기 위해 지지 아암부 상의 물품의 오배열을 교정하는 수단이 제안된다. 지지 아암부가 이동하는 동안에 지지 아암부에 대한 원형 물품의 외주 위치가 검출된다. 이것을 기초로 하여 지지 아암부의 이동을 교정하게 된다.

Description

원형의 제품을 이송하는 이송 장치{APPARATUS FOR TRANSFERRING A SUBSTANTIALLY CIRCULAR ARTICLE}
본 발명은 거의 원형의 제품을 제1의 언로딩 위치로부터 제2의 로딩 위치로 이송하는 이송 장치에 관한 것이다.
반응기 내에서 웨이퍼를 처리하는 동안, 웨이퍼는 처리 스테이션 또는 공급 스테이션으로부터 (다른) 처리 스테이션 또는 배출 스테이션으로 이송된다. 이를 위하여, 이송 장치가 사용된다.
US-A-5,407,449호에는 웨이퍼를 한 스테이션에서 픽업(pick-up)하여 그 웨이퍼를 다른 스테이션으로 이송할 수 있는 이송 장치가 개시되어 있다. 이 이송 장치는 수직 방향으로 조정 가능하여, 웨이퍼를 하나의 스테이션의 스택으로부터 다른 스테이션으로 이송할 수 있다. 이 이송 장치는 3개의 아암부가 있는 아암을 구비하며, 이들 아암부 중 하나가 웨이퍼가 로딩되는 지지부이다.
웨이퍼의 로딩, 언로딩 및 처리 중에, 웨이퍼는 그것의 출발 위치로부터 약간 움직이게 된다. 이를 교정하지 않으면, 본래의 위치로부터의 이러한 이탈 상태는 다음 단계로 전이된다. 즉, 다음 단계에서도 이러한 이탈 상태는 여전히 존재하게 되는 것이다.
몇 단계의 처리 단계가 수행되는 경우, 이는 일련의 처리 단계의 마지막에는 웨이퍼 스택에 위치가 상이한 복수 개의 웨이퍼를 포함된다는 것을 의미한다. 어떤 공정은 웨이퍼의 위치에 대하여 매우 민감하므로, 전술한 이탈은 매우 바람직하지 않다.
지지 아암부에 대한 웨이퍼의 오정렬을 방지하기 위하여, 웨이퍼 이송 장치의 상부 또는 그 내부에 비디오 카메라를 설치하여 교정을 행하는 방안이 제안되어있다. 이러한 카메라는 웨이퍼를 인식하고 필요한 교정을 결정하는 것과 관련하여 비교적 복잡한 구성을 갖는다. 또한, 아암이 전혀 이동하지 않는 경우에만 몇 가지 요소의 위치를 신뢰성 있게 판단할 수 있다.
카메라 대신, 처리 시스템의 상부에 일정 배열의 센서를 사용하는 것도 제안되었다. 이 시스템도 역시 웨이퍼가 정지 위치에 있을 경우에 최적의 기능을 발휘한다.
본 발명의 목적은 동적인 경로에서, 즉 이송 로봇이 이동하는 중에, 지지 아암의 위치에 대한 웨이퍼의 위치를 결정하여, 이송 시간을 가능한 한 짧게 유지하는 것이다. 본 발명의 다른 목적은 지지 아암부 상에서 웨이퍼의 위치를 결정하는 방법을 단순화하는 것이다.
또한, 본 발명은 웨이퍼 이송 장치의 아암의 몇 개의 아암부의 속도 편차를 교정하는 것을 목적으로 한다.
또한, 본 발명은 지지 아암부의 높이 방향에 있어서의 위치와는 독립적으로 지지 아암의 위치에 대한 웨이퍼의 위치를 결정하는 시스템을 제공하는 것을 목적으로 한다.
도 1은 본 발명에 따른 이송 장치의 측면도.
도 2는 도 1의 이송 장치의 평면도.
도 3은 본 발명에 따른 이송 장치의 일부를 분해한 상세 측면도.
도 4는 도 1 내지 도 3에 따른 이송 장치의 사시도.
도 5는 블록선도.
<도면의 주요 부분에 대한 부호의 설명>
1 ; 로봇
4 ; 아암
5,6,7 ; 지지 아암부
17 ; 발광 다이오드
18 ; 광 감지 다이오드
19,20,21 ; 모터
이러한 목적은, 원형의 제품을 제1 언로딩 위치로부터 제2 로딩 위치로 이송하는 원형 제품의 이송 장치로서, 상기 제품을 파지하여 그 제품을 제2 로딩 위치로 이송 및 배출하는 변위 가능한 이송 수단과, 상기 변위 가능한 이송 수단 위에서의 상기 제품의 위치를 결정하는 위치 결정 수단과, 상기 변위 가능한 이송 수단위의 기준 수단을 구비하며, 상기 위치 결정 수단은 고정된 제1 배열의 광 감지 수단을 포함하며, 이 제1 배열의 광 감지 수단은 상기 제품의 존재를 감지하고, 상기 제1 위치로부터 제2 위치로의 제품의 경로에 위치하여 그 경로의 연장선과 평행하지 않은 방향으로 연장하며, 상기 제1 배열의 광 감지 수단의 출력은 상기 변위 가능한 수단 위의 상기 제품의 위치를 결정하기 위하여 계산 수단에 연결되는 원형 제품의 이송 장치에 있어서, 상기 변위 가능한 이동 수단 위의 제품의 위치를 결정하는 상기 위치 결정 수단은 상기 제품의 경로에 위치하여 이 경로에 평행한 방향으로 연장하는 제2 배열의 광 감지 수단을 더 구비하고, 상기 제2 배열의 광 감지 수단은 상기 기준 수단과 상호 작용하여 상기 변위 가능한 이송 수단이 상기 제2 배열의 광 감지 수단을 통과함에 따라 상기 기준 수단을 탐지하고, 상기 제2 배열의 광 감지 수단의 출력은 상기 변위 가능한 이송 수단의 속도를 결정하기 위하여 상기 계산 수단에 연결되는 것을 특징으로 하는 원형 제품 이송 장치에 의해 달성된다.
이하, 첨부 도면을 참고로 하여 본 발명을 상세히 설명한다.
도 1에는 고정된 하부 부분(2)과 상부 부분(3)으로 구성되는 로봇(1)이 도시되어 있다. 상기 상부 부분(3)은 별도로 도시하지 않은 신축 수단(telescoping means)에 의하여 상기 하부 부분(2)에 대하여 수직 방향으로 이동할 수 있다. 상기 상부 부분(3)에는 제1 지지 아암부(5), 제2 지지 아암부(6) 및 제3 지지 아암부(7)로 구성되는 아암(4)이 설치된다. 지지 아암부(7)는 웨이퍼(8)를 수용하도록 구체화된다. 웨이퍼(8)는 저장소(9)로부터 저장소(10)로 이송되어야 한다.
지지 아암부(7)에는 진공 흡인 지지면(26)이 마련된다. 이러한 진공 흡인 지지면(26)의 경우, 이송 중에는 웨이퍼(8)를 지지 아암부(7)에 고정시키고, 언로딩 시에는 그 웨이퍼를 해제하는 것이 가능하다.
저장소(9,10)는, 예컨대 마이크로 회로의 웨이퍼를 처리하는 장치의 가공 스테이션일 수 있다. 웨이퍼를 한 스테이션에서 다른 스테이션으로 이송하는 로봇에 대해서는 본원 명세서에 인용되는 US-A-5,407,449호를 참고할 수 있다.
지지 아암부(7)는 빗형 기준 수단(11; comb reference means)을 구비한다. 이 기준 수단(11)에는 톱니형 단부(12)가 마련된다.
상기 상부 부분(3)에는 긴 부재(13)가 설치되며, 이 긴 부재에는 복수의 3 광 반사 센서(14)가 마련되어 있다.
제1 지지 아암부(5), 제2 지지 아암부(6) 및 제3 지지 아암부(7)의 작동은 지지 아암부(7)의 중심이 도 2의 일점 쇄선(15)을 따르도록 수행된다. 이는 톱니형 단부(12)가 광 감지 다이오드로 구성되는 광 반사 센서(14) 위로 이동한다는 것을 의미한다.
도 3에 보다 상세히 도시한 바와 같이, 긴 부재(13)에는 U형 부재(16)가 마련되어 있다.
이 U형 부재(16)에는 발광 다이오드(17) 세트와 광 감지 다이오드(18) 세트가 각각 대향하게 마련된다. U형 부재(16)의 다리부 사이의 간격은 웨이퍼가 일점 쇄선(15)을 따라 이동하는 동안 U형 부재(16)의 상하 다리부 사이에서 접촉하지 않는 상태로 그 사이에 웨이퍼를 수용할 수 있을 정도의 크기로 된다.
도 5에 개략적으로 도시된 바와 같이, 아암(4)을 작동시키기 위해서 3개의 모터(19,20,21; 각각 R,T,Z 방향)가 설치된다. 이들 모터는 제어 수단(23)에 의해 작동한다. 제어 수단(23)은 메인 처리 유닛(24)으로부터 입력 신호를 수신하여 저장소(9,10)로부터의 배출 및 장입 순서를 각각 제어한다.
일정 배열의 발광 다이오드(17) 및 광 감지 다이오드(18)로부터 출력된 신호가 계산 수단(25)에 입력된다. 광 감지 다이오드(14)로부터 얻은 신호도 마찬가지로 계산 수단(25)에 입력된다. 교정 신호가 이 계산 수단(25)으로부터 제어 수단(23)에 입력된다.
전술한 장치는 다음과 같이 작동한다. 웨이퍼가 도 2에 도시된 위치로부터 일점 쇄선(15)을 따라 이동하는 동안, 기준 수단(11)의 톱니형 단부(12)는 U형 부재(16)의 광 감지 다이오드(18) 중 하나(도 3의 가장 우측의 것)와 긴 부재(13)상의 광 감지 다이오드(14)를 통과한다. 계산 수단(25)은 이들 다이오드로부터 출력된 신호를 기초로 하여, 톱니형 단부(12)의 속도, 나아가 지지 아암부(7)의 속도를 계산할 수 있다.
동시에, 웨이퍼(8)는 U형 부재(16)의 다리부 사이를 이동한다. 상기 발광 다이오드(17)/광 감지 다이오드(18)는 각각 우측에서 좌측으로 연속해서 활성화 및 비활성화되게 된다.
광 감지 다이오드의 이러한 배열로부터, 일점 쇄선(15)의 방향에서 지지 아암부(7) 상의 웨이퍼(8)의 위치 및 이에 수직인 웨이퍼의 위치에 관한 정보를 얻을수 있다. 이는 계산 수단(25)에 입력되는 U형 부재(16)의 신호의 출력을 기초로 하여 계산된다.
4개의 센서(14)와 조합되는, 예컨대 6개의 톱니를 갖는 톱니형 단부(12)를 마련함에 의하여, 지지 아암부(7)의 귀환 속도를 48회 측정할 수 있다. 이러한 속도를 안다고 하는 것은 제품(8)의 원형 형상을 판정하는 데에 중요하다.
지지 아암부(7)의 속도 및 지지 아암부(7) 상의 웨이퍼(8)의 위치를 기초로, 제어 수단(23)에 교정 신호를 제공하여, 2개의 모터(19,21)의 제어 장치를 조정함으로써 지지 아암부(7)의 위치를 교정한다.
본 발명을 바람직한 실시예에 관련하여 설명하였지만, 본 발명의 보호 범위를 벗어나지 않는 범위 내에서 다양한 변형이 이루어질 수 있다는 것은 당업자에게 자명하다는 것을 이해할 수 있다.
예컨대, 지지 아암부(7)의 속도 측정을 생략하고 여러 모터(19,20,21)의 회전을 기초로 하여 그 속도를 계산할 수도 있다.
웨이퍼(8)의 위치 결정을 위해 모든 발광 다이오드(17)와 광 감지 다이오드(18)를 이용하는 대신에, 예컨대 최초와 최후의 계산치를 이용하고 다른 것들을 검토용으로 사용하는 것도 가능하다.
본 발명에 따르면, 지지 아암의 위치에 대한 웨이퍼의 위치를 결정하여 이송 시간을 가능한 한 짧게 유지할 수 있다. 또한, 지지 아암부 상에서의 웨이퍼 위치를 결정하는 방법을 단순화 할 수 있고, 웨이퍼 이송 장치의 아암의 어떤 아암부의속도 편차를 교정할 수 있는 등의 효과를 얻을 수 있다.

Claims (5)

  1. 원형의 제품(8)을 제1 언로딩 위치(9)로부터 제2 로딩 위치(10)로 이송하는 원형 제품의 이송 장치로서,
    상기 제품을 파지하여 그 제품을 제2 로딩 위치로 이송 및 배출하는 변위 가능한 이송 수단(5-7)과,
    상기 변위 가능한 이송 수단 위에서의 상기 제품의 위치를 결정하는 위치 결정 수단과,
    상기 변위 가능한 이송 수단 위의 기준 수단(11,12)
    을 구비하며, 상기 위치 결정 수단은 고정된 제1 배열의 광 감지 수단(17, 18)을 포함하며, 이 제1 배열의 광 감지 수단은 상기 제품의 존재를 감지하고, 상기 제1 위치로부터 제2 위치로의 제품의 경로(15)에 위치하여 그 경로의 연장선과 평행하지 않은 방향으로 연장하며, 상기 제1 배열의 광 감지 수단의 출력은 상기 변위 가능한 수단 위의 상기 제품의 위치를 결정하기 위하여 계산 수단(25)에 연결되는 원형 제품의 이송 장치에 있어서,
    상기 변위 가능한 이동 수단 위의 제품의 위치를 결정하는 상기 위치 결정 수단은 상기 제품의 경로(15)에 위치하여 이 경로에 평행한 방향으로 연장하는 제2 배열의 광 감지 수단(14)을 더 구비하고,
    상기 제2 배열의 광 감지 수단(14)은 상기 기준 수단(11, 12)과 상호 작용하여 상기 변위 가능한 이송 수단이 상기 제2 배열의 광 감지 수단을 통과함에 따라상기 기준 수단(11, 12)을 탐지하고, 상기 제2 배열의 광 감지 수단의 출력은 상기 변위 가능한 이송 수단의 속도를 결정하기 위하여 상기 계산 수단(25)에 연결되는 것을 특징으로 하는 원형 제품 이송 장치.
  2. 제1항에 있어서, 상기 변위 가능한 이송 수단을 구동하는 모터(19, 20) 및 이들 모터를 제어하는 제어 수단(23)을 더 구비하며, 상기 계산 수단은 상기 제어 수단(23)에 교정 신호를 제공하는 것을 특징으로 하는 원형 제품 이송 장치.
  3. 제1항 또는 제2항에 있어서, 제1 배열의 광 감지 수단과 제2 배열의 광 감지 수단은 하나 이상의 공통 광 감지 수단을 포함하는 것을 특징으로 하는 원형 제품 이송 장치.
  4. 제1항 또는 제2항에 있어서, 상기 변위 가능한 이송 수단 위의 기준 수단(11)은 상기 제2 배열의 광 감지 수단과 상호 작용하는 톱니형 단부(12)를 구비하는 것을 특징으로 하는 원형 제품 이송 장치.
  5. 제1항 또는 제2항에 있어서, 상기 제1 배열의 광 감지 수단은 상기 제품의 경로에 대하여 거의 직각으로 연장되는 것을 특징으로 하는 원형 제품 이송 장치.
KR1019960062027A 1995-12-08 1996-12-05 원형의제품을이송하는이송장치 KR100434807B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/569,303 1995-12-08
US08/569.303 1995-12-08
US08/569,303 US5768125A (en) 1995-12-08 1995-12-08 Apparatus for transferring a substantially circular article

Publications (2)

Publication Number Publication Date
KR970042177A KR970042177A (ko) 1997-07-24
KR100434807B1 true KR100434807B1 (ko) 2004-08-18

Family

ID=24274872

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960062027A KR100434807B1 (ko) 1995-12-08 1996-12-05 원형의제품을이송하는이송장치

Country Status (6)

Country Link
US (1) US5768125A (ko)
EP (1) EP0778610B1 (ko)
JP (1) JP2798665B2 (ko)
KR (1) KR100434807B1 (ko)
DE (1) DE69622353T2 (ko)
TW (1) TW330916B (ko)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6205870B1 (en) * 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
DE59813989D1 (de) * 1997-12-07 2007-06-14 Oerlikon Assembly Equipment Ag Halbleiter-Montageeinrichtung mit einem hin und her geführten Chipgreifer
SG82021A1 (en) * 1998-10-01 2001-07-24 Esec Trading Sa Semiconductor mounting apparatus with a chip gripper
US6993169B2 (en) * 2001-01-11 2006-01-31 Trestle Corporation System and method for finding regions of interest for microscopic digital montage imaging
US7155049B2 (en) * 2001-01-11 2006-12-26 Trestle Acquisition Corp. System for creating microscopic digital montage images
US6798571B2 (en) 2001-01-11 2004-09-28 Interscope Technologies, Inc. System for microscopic digital montage imaging using a pulse light illumination system
US6816606B2 (en) 2001-02-21 2004-11-09 Interscope Technologies, Inc. Method for maintaining high-quality focus during high-throughput, microscopic digital montage imaging
DE20116653U1 (de) 2001-05-07 2002-01-03 Esec Trading Sa Montageautomat für die Plazierung eines Halbleiterchips als Flipchip auf einem Substrat
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US6788991B2 (en) 2002-10-09 2004-09-07 Asm International N.V. Devices and methods for detecting orientation and shape of an object
US6950774B2 (en) * 2003-01-16 2005-09-27 Asm America, Inc. Out-of-pocket detection system using wafer rotation as an indicator
US20070169700A1 (en) * 2006-01-26 2007-07-26 Gert-Jan Sniders Sensing system and method for determining the alignment of a substrate holder in a batch reactor
JP5146641B2 (ja) * 2007-06-06 2013-02-20 株式会社安川電機 基板搬送ロボットおよび基板搬送ロボットの制御方法
US8099190B2 (en) * 2007-06-22 2012-01-17 Asm International N.V. Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP4924680B2 (ja) * 2009-09-09 2012-04-25 村田機械株式会社 移載装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5935676B2 (ja) * 2012-12-07 2016-06-15 東京エレクトロン株式会社 基板処理装置、基板装置の運用方法及び記憶媒体
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015221337A1 (de) 2015-10-30 2017-05-04 Keba Ag Verfahren und Steuerungssystem zum Steuern der Bewegungen von Gelenkarmen eines Industrieroboters sowie dabei eingesetztes Bewegungsvorgabemittel
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN110561397A (zh) * 2018-06-05 2019-12-13 日本电产三协(浙江)有限公司 工业用机器人
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN111599101B (zh) * 2020-04-29 2021-12-28 苏州得尔达国际物流有限公司 无人配送机器人及其配送方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2190346A (en) * 1937-06-21 1940-02-13 Plant Choate Mfg Co Inc Earth moving device
JPS61184841A (ja) * 1985-02-13 1986-08-18 Canon Inc ウエハの位置決め方法および装置
EP0313466A2 (en) * 1987-10-20 1989-04-26 Fujitsu Limited Wafer positioning apparatus
EP0508748A2 (en) * 1991-04-09 1992-10-14 Eaton Corporation Wafer centering system
EP0597637A1 (en) * 1992-11-12 1994-05-18 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4744713A (en) * 1986-05-21 1988-05-17 Texas Instruments Incorporated Misalignment sensor for a wafer feeder assembly
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2190346A (en) * 1937-06-21 1940-02-13 Plant Choate Mfg Co Inc Earth moving device
JPS61184841A (ja) * 1985-02-13 1986-08-18 Canon Inc ウエハの位置決め方法および装置
EP0313466A2 (en) * 1987-10-20 1989-04-26 Fujitsu Limited Wafer positioning apparatus
EP0508748A2 (en) * 1991-04-09 1992-10-14 Eaton Corporation Wafer centering system
EP0597637A1 (en) * 1992-11-12 1994-05-18 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber

Also Published As

Publication number Publication date
EP0778610A1 (en) 1997-06-11
EP0778610B1 (en) 2002-07-17
DE69622353D1 (de) 2002-08-22
US5768125A (en) 1998-06-16
JP2798665B2 (ja) 1998-09-17
KR970042177A (ko) 1997-07-24
JPH09199570A (ja) 1997-07-31
TW330916B (en) 1998-05-01
DE69622353T2 (de) 2003-02-13

Similar Documents

Publication Publication Date Title
KR100434807B1 (ko) 원형의제품을이송하는이송장치
KR100649388B1 (ko) 기판 이송장치
US5839186A (en) Component attracted state detecting system for component mounting machine
CN106992137B (zh) 搬送装置和校正方法
KR960044021A (ko) 기판이송적재방법 및 기판이송적재장치
JP4402811B2 (ja) 被処理体の搬送システムおよび被処理体の位置ずれ量の検出方法
US5724722A (en) Part state detecting device for mounter
US20080187419A1 (en) Calibration of high speed loader to substrate transport system
EP2098107B1 (en) Component-recognizing apparatus, surface-mounting apparatus and component-inspecting apparatus
KR20090105819A (ko) 웨이퍼 정렬 장치를 포함하는 웨이퍼 공정 장치
US7159305B2 (en) Electronic component mounting apparatus
KR980012211A (ko) 웨이퍼 위치 에러 검출 및 보정 시스템
WO1999059056A1 (en) Prealigner and planarity teaching station
US6160905A (en) Material loader apparatus and method using a single image recognition device for bar code and vision mark recognition
WO2016125752A1 (ja) 基板搬送ロボットおよび基板搬送方法
US11189512B2 (en) Stocker
US4806773A (en) Wafer position detecting method and apparatus
JP4047182B2 (ja) 基板の搬送装置
US6441899B1 (en) Apparatus and method for loading substrates of various sizes into substrate holders
JPH04295704A (ja) ウェーハの中心位置検出装置
EP0811310A1 (en) Method of placing a component on a carrier, and component placement machine for implementing said method
JP2891792B2 (ja) 荷役制御装置
JPH0982776A (ja) ワーク搬送装置
KR100287160B1 (ko) 개선된 위치 보정수단을 갖는 제품 이적재 장치
KR20210082363A (ko) 워크 홀 검출 장치 및 워크 홀 검출 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140508

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 13

EXPY Expiration of term