KR100259314B1 - 반도체장치의 제조방법 - Google Patents

반도체장치의 제조방법 Download PDF

Info

Publication number
KR100259314B1
KR100259314B1 KR1019960030596A KR19960030596A KR100259314B1 KR 100259314 B1 KR100259314 B1 KR 100259314B1 KR 1019960030596 A KR1019960030596 A KR 1019960030596A KR 19960030596 A KR19960030596 A KR 19960030596A KR 100259314 B1 KR100259314 B1 KR 100259314B1
Authority
KR
South Korea
Prior art keywords
oxide film
film
silicon oxide
semiconductor device
bond
Prior art date
Application number
KR1019960030596A
Other languages
English (en)
Other versions
KR970023759A (ko
Inventor
마사즈미 마츄우라
Original Assignee
다니구찌 이찌로오, 기타오카 다카시
미쓰비시덴키 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다니구찌 이찌로오, 기타오카 다카시, 미쓰비시덴키 가부시키가이샤 filed Critical 다니구찌 이찌로오, 기타오카 다카시
Publication of KR970023759A publication Critical patent/KR970023759A/ko
Application granted granted Critical
Publication of KR100259314B1 publication Critical patent/KR100259314B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

막 수축이 감소하고, 막 스트레스가 저감되도록 개량된 층간 절연막을 갖는 반도체 장치를 제공하기 위해, 기판(1)상에 금속 배선(2a, 2b)이 형성되어 있다. 금속 배선(2a, 2b)을 덮고, 또한 금속 배선(2a)와 금속 배선(2b) 사이의 틈을 매립하도록, 기판(1)상에 실리콘 산화막(4)이 마련되어 있다. 실리콘 산화막(4)의 화학 구조식은 Si-F 결합을 포함하고 있다.

Description

반도체 장치의 제조 방법
본 발명은 전반적으로 반도체 장치에 관한 것으로, 특히, 층간 절연막(interlayer insulating film)의 막 수축(shrinkage)이 감소하고, 막 스트레스(film stress)가 저감되도록 개량한 반도체 장치에 관한 것이다. 본 발명은, 또한 그와 같은 반도체 장치의 제조 방법에 관한 것이다.
실란가스(SiH4)와 과산화수소(H2O2)를 사용해서, CVD(Chemical Vapor Deposition)법에 의해 형성한 실리콘 산화막은 0.25㎛ 이하의 매우 미세한 배선간을 매립하는 것이 가능하고, 또 유동성이 뛰어나서 그것에 의해 자기 평탄화 특성(self-planarizing property)을 나타낸다. 그 때문에, 이 방법은 종래부터 사용되어 온 SOG(Spin-On Glasses)법 등에 대신하는 차세대 층간 절연막의 평탄화 방법으로서 주목되고 있다. 이 종래 방법은 문헌 "Novel Self-planarizing CVD Oxide for interlayer dielectric applications"(Technical digest of IEDM 1994)와 문헌 "Planarisation for sub-micron divices utilizing a New Chemistry"(Proceedings of DUMIC conference 1995)에 상술되어 있다. 이 종래 방법에 의한 실리콘 산화막의 형성 반응은 이하의 화학식으로 도시하면 다음과 같다.
[화학식]
SiH4+2H2O2→ Si(OH)4+ 2H2… (1a)
SiH4+3H2O2→ Si(OH)4+ 2H2O + H2… (1b)
SiH4+4H2O2→ Si(OH)4+ 4H2O … (1c)
nSi(OH)4→ nSiO2+ 2nH2O … (2)
우선, SiH4가 H2O2의 산화 작용에 의해, 실라놀(Si(OH)4)로 변화한다(반응식(1a, 1b, 1c)). 발생한 실라놀은 가수 분해 또는 열 에너지에 의해 탈수 중합 반응(dehydrating polymerization reaction)을 일으켜서, 실리콘 산화물(SiO2)로 변화한다(반응식(2)). 이와 같은 반응을 기판상에서 실행시키면, 층간 절연막인 실리콘 산화막이 형성된다.
다음에, 상술한 종래의 방법을 사용해서 층간 절연막을 형성하는 공정에 대해서 설명한다.
도6을 참조하면, 기판(11)을 준비한다. 기판(11)은 실리콘 기판, 그 위에 형성된 소자 및 절연층을 포함한다(도시하지 않음). 기판(11)상에 제1알루미늄 배선(12a)과 제2알루미늄 배선(12b)을 형성한다. 제1 및 제2알루미늄 배선(12a), (12b)을 피복하도록 제1플라즈마 산화막(13)을 형성한다.
도7을 참조하면, SiH4및 H2O2를 사용해서, CVD법에 의해 실리콘 산화막(14)을 형성한다. 실리콘 산화막(14)은 제1알루미늄 배선(12a)과 제2알루미늄 배선(12b) 사이의 틈(space)을 매립한다.
도8을 참조하면, 실리콘 산화막(14)상에 제2플라즈마 산화막(15)을 형성하는 것에 의해 평탄한 층간 절연막(16)이 완성된다.
도7을 참조하면, SiH4및 H2O2를 사용한 CVD법에 의해 형성한 실리콘 산화막(14)은 막형성 과정에서 생성되는 실라놀이 뛰어난 유동성을 갖는 것에 의해, 매우 미세한 배선간의 매립을 가능하게 하고, 더 나아가서, 뛰어난 자기 평탄화 특성을 실현한다.
그러나, 상기 반응식(2)을 참조하면, 실라놀은 실리콘 산화물로 변화하는 과정에서 탈수 축합 반응(dehydrating condensation reaction)을 일으키기 때문에, 형성된 실리콘 산화막은 막 수축에 의한 큰 스트레스를 갖는다. 이 스트레스가 너무 큰 경우, 실리콘 산화막 자체에 크랙을 발생시키거나 하층(underlying layer)에 마련된 금속 배선의 신뢰성에 악영향을 미친다.
본 발명의 목적은 상기와 같은 문제점을 해결하기 위해 이루어진 것으로, 실리콘 산화막중의 막 스트레스를 저감할 수 있도록 개량된 반도체 장치를 제공하는데 있다.
본 발명의 다른 목적은 그와 같은 반도체 장치의 제조 방법을 제공하는 데 있다.
도1-3은 본 발명의 실시예 1에 관한 반도체 장치 제조 방법의 순서의 제1-3 공정 각각에 있어서의 반도체 장치의 단면도.
도4는 본 발명의 실시예 1에서 형성되는 실리콘 산화막의 화학 구조식을 도시하는 도면.
도5는 종래 방법을 사용해서 형성한 층간 절연막의 화학 구조식을 도시하는 도면.
도6-8은 종래의 반도체 장치 제조 방법의 순서의 제1-3 공정 각각에 있어서의 반도체 장치의 단면도.
* 도면의 주요부분에 대한 부호의 설명
1 : 기판 2a, 2b : 알루미늄 배선
4 : 실리콘 산화막
본 발명의 제1특징에 따른 반도체 장치는 기판을 구비한다. 상기 기판상에 제1금속 배선과 제2금속 배선이 형성되어 있다. 상기 제1 및 제2금속 배선을 덮고, 또한 상기 제1금속 배선과 상기 제2금속 배선 사이의 틈을 매립 하도록, 상기 기판상에 실리콘 산화막이 마련되어 있다. 상기 실리콘 산화막의 화학 구조식은 Si-F 결합(bond)을 포함하고 있다.
본 발명의 제1특징에 따른 반도체 장치에 의하면, 층간 절연막중에 Si-F 결합이 도입되므로, SiOH 결합이 종래의 층간 절연막에 비해 적다. 따라서, 연속 발생하는 탈수 축합 반응이 완화되기 때문에 막 수축이 감소하고, 계속해서 막 스트레스가 저감된다. 또, 층간 절연막중에 Si-F 결합이 도입되므로 층간 절연막의 유전율이 감소하거나 잔류하는 Si-OH 결합을 저감시킨다는 효과도 있다.
본 발명의 제2특징에 따른 반도체 장치의 제조 방법에 의하면, 실리콘 산화막을, 불소계 원자(fluorine atom)가 결합한 실리콘 원자를 갖는 원료 가스와 과산화수소와의 혼합 가스를 사용해서 화학 기상 성장법에 의해 형성한다. 따라서, 생성한 층간 절연막중에 Si-F 결합이 도입된다. 그 결과, 실라놀에 포함되는 Si-OH 결합이 종래의 방법에 비해 적어지게 된다. 그 결과, 연속 발생하는 탈수 축합 반응이 완화되기 때문에 막 수축이 감소하여 막 스트레스가 저감된 층간 절연막을 제공한다.
본 발명의 상기 및 그밖의 목적, 특징, 국면 및 이익들은 첨부 도면을 참조로 설명하는 이하의 상세한 설명으로부터 더욱 명백해질 것이다.
이하, 본 발명의 실시예를 도면에 따라서 설명한다.
[실시예 1]
도1을 참조하면, 기판(1)상에 제1알루미늄 배선(2a)과 제2알루미늄 배선(2b)을 형성한다. 제1 및 제2알루미늄 배선(2a, 2b)을 피복하도록 기판(1)상에 제1플라즈마 산화막(3)을 형성한다. 제1플라즈마 산화막(3)은 플라즈마 CVD법에 의해 형성된다. 제1플라즈마 산화막(3)의 일반적인 형성 조건은, 형성 온도(forming temperature)가 300℃, 압력이 750mTorr, 고주파 전력이 500W, 사용하는 원료 가스는 SiH4와 아산화질소(nitrous oxide)(N2O)이다. 형성된 제1플라즈마 산화막(3)의 막 두께는 1000Å이었다. 이 경우, 원료 가스로서 TEOS(Tetra ethoxy silane)과 산소를 사용하여, 플라즈마 CVD법에 의해 형성해도 좋다(이 경우, 형성 온도는 400℃, 압력은 5Torr, 고주파 전력은 500W가 바람직하다).
도2를 참조하면, 제1플라즈마 산화막(3)상에, SiH4및 H2O2를 사용하여, CVD법에 의해 실리콘 산화막(이하, HSO막이라 한다)(4)을 형성한다. 본 발명의 실시예에 있어서의 특징은 이 HSO막(4)의 형성 방법에 있다. 구체적으로는, 플루오르실란(fluorosilane)(SiHXF4-X)을 SiH4에 첨가하는 것을 특징으로 한다. 또한, 플루오르실란을 단독으로 원료 가스로서 사용해도 좋다. 본 발명의 실시예에서 사용한 플루오르실란은 디플루오르실란(difluorosilance)(SiH2F2)이다. 대표적인 HSO막의 형성 조건은 이하와 같다.
형성 온도; 1℃(-10℃∼100℃의 범위가 바람직하다)
형성 압력; 850mTorr(200mTorr∼600Torr의 범위가 바람직하다)
가스 유량; SiH440SCCM(standard cubic centimeter per minute)
SiH2F2; 40SCCM
N2; 500SCCM
H2O2; 0.65g/분
형성 온도가 100℃ 이상이면 작은 알갱이(granule) 형상의 것이 얻어져 막은 형성되지 않는다. 형성 압력이 600Torr를 초과하면 작은 알갱이 형상의 것이 얻어져 막은 형성되지 않는다.
상기 조건에 의해 형성한 실리콘 산화막은, 모식적으로 도4에 도시하는 화학 구조식을 갖는다. 도2에서 명확한 바와 같이, SiH4와SiH2F2를 사용한 경우에는 실리콘 산화막의 화학 구조식은 다음의 단위, 즉 산소 원자로 서로 연결된 제1실리콘 원자와 제2실리콘 원자를 갖고, 제1실리콘 원자에는 또한 3개의 산소 원자가 결합되어 있고, 제2실리콘 원자에는 불소 원자가 2개 결합해 있는 단위를 갖는다.
[화학식 1]
또한, 비교를 위해 도5에 종래법(SiH4및 H2O2를 사용한 CVD법)에 의해서 형성된 실리콘 산화막의 분자 구조를 나타낸다. 종래법에 의한 실리콘 산화막은 Si-O 결합 및 Si-OH 결합에 의해 구성되어 있다. 한편, 본 발명의 실시예에 의한 실리콘 산화막은 Si-O 결합, Si-F 결합 및 Si-OH 결합에 의해 구성되어 있다. 생성되는 실라놀에 대해서 고려하면, 상기에 나타낸 가스계를 사용한 경우 생성하는 실라놀의 결합의 내역은, Si-OH 결합이 75%, Si-F 결합이 25%이다. Si-F 결합은 상기 막 형성 조건하에서는 상당히 안정적이기 때문에, 그대로 실리콘 산화막중에 주입된다. 한편, 원료 가스가 SiH4100%, 즉 SiH4가 80SCCM의 경우 생성되는 실라놀은 Si-OH 결합 100%로 구성된다. 따라서, 본 발명의 실시예에 의한 실리콘 산화막은 막 형성 과정에서 생성되는 실라놀에 포함되는 Si-OH 결합이 종래법에 의해 형성된 것보다 적기 때문에, 연속 발생하는 탈수 축합 반응이 완화된다. 그 때문에 막 수축이 감소하고 계속해서 막 스트레스가 저감된다.
또, 막중에 Si-F 결합이 도입되므로, 막의 비유전율(比誘電率)이 감소하거나 잔류하는 Si-OH 결합을 저감시킨다는 효과도 있다.
도3을 참조하면, HSO막(4)상에 제2플라즈마 산화막(5)을 형성한다. 제2플라즈마 산화막(5)의 형성 조건은, 제1플라즈마 산화막(3)의 형성 조건과 동일 조건이어도 좋고, 다른 조건이어도 좋다. 예를 들면, 다른 조건으로 해도 본 발명의 실시예에서 생기는 효과에 영향을 미치지 않는다.
또한, 도시하지는 않았지만, 제2플라즈마 산화막(5)상에 추가로 알루미늄 배선을 형성하면 반도체 장치가 완성한다.
본 발명의 실시예에 의하면, HSO막(4)상에 제1 및 제2알루미늄 배선(2a, 2b)상의 두께를 a라 하고, HSO막(4)의, 기판(1)상에 있고 또한 제1알루미늄 배선(2a)과 제2알루미늄 배선(2b) 사이에 있는 부분의 두께를 b라 하면, a〈b라는 부등식이 성립한다.
또한, HSO막(4)의 형성시에 원료 가스중에 PH3또는 P2H6를 주입하면 붕소 이온 또는 인 이온이 도핑된 실리콘 산화막이 얻어진다. 붕소 이온 또는 인 이온이 주입되면 게터링(gettering) 효과가 생긴다.
붕소 이온 또는 인 이온의 주입량은 5몰%∼10몰%가 바람직하다.
[실시예 2]
본 발명의 실시예1에서는, 플루오르실란으로서 SiH2F2를 사용하는 경우를 예시하였지만, 본 발명은 이것에 한정되는 것은 아니고, 트리플루오르실란(trifluorosilane)(SiHF3) 및 모노플루오르실란(monofluorosilane)(SiH3F)을 사용해도 마찬가지의 효과를 나타낸다.
플루오르실란으로서, 트리플루오르실란을 사용한 경우에는 얻어진 실리콘 산화막의 화학 구조식은 다음의 단위를 갖는다.
[화학식 2]
플루오르실란으로서, 모노플루오르실란을 사용한 경우에는 얻어진 층간 절연막의 화학 구조식은 다음의 단위를 갖는다.
[화학식 3]
[실시예 3]
상기 발명의 실시예에서 실리콘 화합물로서 플루오르실란을 사용하는 경우를 예로 하였지만, TEFS(Triethoxy fluorosilane)를 대표로 하는 유기기(알킬기)를 함유하는 유기 실리콘 화합물(organosilicon compound)을 사용해도 마찬가지의 효과를 실현한다.
또한, 이 경우에는 도3을 참조하면, 층간 절연막의 두께는 a=b를 충족하도록 된다.
금회 개시된 실시예는 모든 점에서 예시로서 제한적인 것은 아닌 것으로 고려되어져야 한다. 본 발명의 범위는 특허 청구 범위에 의해서 도시되고 특허청구범위와 균등한 의미 및 범위내에서의 모든 변경이 포함되는 것이 의도된다.
상기한 바와 같은 본 발명에 따르면, 층간 절연막중에 Si-F 결합이 도입되므로, SiOH 결합이 종래의 층간 절연막에 비해 적다. 따라서, 연속 발생하는 탈수 축합 반응이 완화되기 때문에 막 수축이 감소하고, 계속해서 막 스트레스가 저감된다. 또, 층간 절연막중에 Si-F 결합이 도입되므로 층간 절연막의 유전율이 감소하거나 잔류하는 Si-OH 결합을 저감시킨다는 효과도 있다.

Claims (6)

  1. (2회 정정) 금속 배선이 그 위에 형성된 기판을 준비하는 공정과, 불소 원자가 결합한 실리콘 원자를 갖는 원료 가스와, 실란 가스와 과산화수소와의 혼합 가스를 이용하여, 화학 기상 성장법에 의해, 상기 금속 배선을 덮도록, 상기 기판상에 실리콘 산화막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 원료 가스로서 디플루오르실란을 사용하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 원료 가스로서 트리플루오르실란 또는 모노플루오르실란을 사용하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 원료 가스로서 플루오르알콕시실란을 사용하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 실리콘 산화막(4)은 -10℃∼100℃의 온도에서 형성되는 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 실리콘 산화막은 200mTorr∼600Torr의 압력하에서 형성되는 반도체 장치의 제조 방법.
KR1019960030596A 1995-10-23 1996-07-26 반도체장치의 제조방법 KR100259314B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7274010A JPH09116011A (ja) 1995-10-23 1995-10-23 半導体装置およびその製造方法
JP95-274010 1995-10-23

Publications (2)

Publication Number Publication Date
KR970023759A KR970023759A (ko) 1997-05-30
KR100259314B1 true KR100259314B1 (ko) 2000-06-15

Family

ID=17535705

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960030596A KR100259314B1 (ko) 1995-10-23 1996-07-26 반도체장치의 제조방법

Country Status (5)

Country Link
US (1) US5703404A (ko)
JP (1) JPH09116011A (ko)
KR (1) KR100259314B1 (ko)
DE (1) DE19612450A1 (ko)
TW (1) TW316325B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170003729A (ko) * 2012-03-26 2017-01-09 실코텍 코포레이션 코팅된 물품 및 화학적 기상증착방법

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0951035A (ja) * 1995-08-07 1997-02-18 Mitsubishi Electric Corp 層間絶縁膜の形成方法
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
JP2917897B2 (ja) * 1996-03-29 1999-07-12 日本電気株式会社 半導体装置の製造方法
US6239579B1 (en) * 1996-07-05 2001-05-29 Estco Battery Management Inc. Device for managing battery packs by selectively monitoring and assessing the operative capacity of the battery modules in the pack
EP0820095A3 (en) * 1996-07-19 1999-01-27 Sony Corporation Method of forming an interlayer film
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US5985770A (en) * 1997-08-21 1999-11-16 Micron Technology, Inc. Method of depositing silicon oxides
JPH1187340A (ja) * 1997-09-05 1999-03-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
JP3132557B2 (ja) * 1998-04-03 2001-02-05 日本電気株式会社 半導体装置の製造方法
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JP2000077402A (ja) * 1998-09-02 2000-03-14 Tokyo Electron Ltd プラズマ処理方法および半導体装置
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US5994778A (en) 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
KR100308213B1 (ko) * 1999-02-12 2001-09-26 윤종용 반도체 장치를 위한 저유전 층간 절연막의 제조 방법
US6593077B2 (en) 1999-03-22 2003-07-15 Special Materials Research And Technology, Inc. Method of making thin films dielectrics using a process for room temperature wet chemical growth of SiO based oxides on a substrate
US6080683A (en) * 1999-03-22 2000-06-27 Special Materials Research And Technology, Inc. Room temperature wet chemical growth process of SiO based oxides on silicon
JP2000286262A (ja) * 1999-03-30 2000-10-13 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
KR100531467B1 (ko) * 1999-11-05 2005-11-28 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성 방법
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6613697B1 (en) 2001-06-26 2003-09-02 Special Materials Research And Technology, Inc. Low metallic impurity SiO based thin film dielectrics on semiconductor substrates using a room temperature wet chemical growth process, method and applications thereof
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP2008244254A (ja) * 2007-03-28 2008-10-09 Fujitsu Microelectronics Ltd 半導体装置とその製造方法、及び分割露光用マスク
JP4413947B2 (ja) * 2007-06-21 2010-02-10 株式会社東芝 半導体装置の製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03175635A (ja) * 1989-12-04 1991-07-30 Nec Corp 半導体装置の多層配線構造体
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
JP2917783B2 (ja) * 1993-12-24 1999-07-12 日本電気株式会社 半導体装置及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170003729A (ko) * 2012-03-26 2017-01-09 실코텍 코포레이션 코팅된 물품 및 화학적 기상증착방법
KR102018241B1 (ko) * 2012-03-26 2019-09-04 실코텍 코포레이션 코팅된 물품 및 화학적 기상증착방법

Also Published As

Publication number Publication date
DE19612450A1 (de) 1997-04-24
KR970023759A (ko) 1997-05-30
TW316325B (ko) 1997-09-21
US5703404A (en) 1997-12-30
JPH09116011A (ja) 1997-05-02

Similar Documents

Publication Publication Date Title
KR100259314B1 (ko) 반도체장치의 제조방법
US7642204B2 (en) Methods of forming fluorine doped insulating materials
KR100579017B1 (ko) 로우 k 프리 메탈 유전체 반도체 구조물
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
JP3290339B2 (ja) フルオロケイ酸塩ガラス層を形成する方法
JP3881282B2 (ja) 低誘電率材料およびcvdによる処理方法
TW535238B (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
KR100206630B1 (ko) 반도체장치의 제조방법
US5336640A (en) Method of manufacturing a semiconductor device having an insulating layer composed of a BPSG film and a plasma-CVD silicon nitride film
KR19990006346A (ko) 반도체 장치 및 그 제조 방법
US20030062600A1 (en) Process for optimizing mechanical strength of nanoporous silica
JP3463416B2 (ja) 絶縁膜の製造方法および半導体装置
JPH10242143A (ja) 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
Barron CVD of SiO2 and related materials: An overview
CN101473426A (zh) 用于从下向上填充间隙的介电材料沉积与回蚀方法
US20030152784A1 (en) Process for forming hydrogen silsesquioxane resins
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
TW518369B (en) Method of silicon oxide and silicon glass films deposition
US11502165B2 (en) Semiconductor device with flowable layer and method for fabricating the same
KR100331272B1 (ko) 반도체 소자의 층간절연막 형성방법
KR100301412B1 (ko) 반도체 소자의 층간절연막 형성용 절연물
JPH0950995A (ja) シリコン系酸化物および半導体装置の層間絶縁膜
JPH09293716A (ja) フッ素含有絶縁膜の形成方法
JPH0982704A (ja) 平坦化層間絶縁膜の形成方法
KR19980055934A (ko) 반도체 소자의 층간 절연막

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20050309

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee