JPWO2003104524A1 - 処理装置及び処理方法 - Google Patents

処理装置及び処理方法 Download PDF

Info

Publication number
JPWO2003104524A1
JPWO2003104524A1 JP2004511579A JP2004511579A JPWO2003104524A1 JP WO2003104524 A1 JPWO2003104524 A1 JP WO2003104524A1 JP 2004511579 A JP2004511579 A JP 2004511579A JP 2004511579 A JP2004511579 A JP 2004511579A JP WO2003104524 A1 JPWO2003104524 A1 JP WO2003104524A1
Authority
JP
Japan
Prior art keywords
chamber
gas
supply port
processed
mounting table
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004511579A
Other languages
English (en)
Other versions
JP4354908B2 (ja
Inventor
軍司 勲男
勲男 軍司
石坂 忠大
忠大 石坂
河南 博
博 河南
沢田 郁夫
郁夫 沢田
小島 康彦
康彦 小島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2003104524A1 publication Critical patent/JPWO2003104524A1/ja
Application granted granted Critical
Publication of JP4354908B2 publication Critical patent/JP4354908B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Abstract

チャンバ(12)の天井面(12b)のほぼ全体にガス供給口(19)が形成されている。また、ガス供給口(19)には、シャワーヘッド(20)が嵌装されている。天井面(12b)の周縁には、天井面(12b)と90度より大きい角度をなすように構成された第2の側壁(12d)が接続されている。また、サセプタ(16)の側面は、ウェハWの載置面と90度より大きい角度をなしチャンバ(12)の第2の側壁(12d)と略平行になるように形成されている。さらに、サセプタ(16)は、その側面と第2の側壁(12d)との距離L2が、シャワーヘッド(20)とウェハWとの距離L1より大きくなるように配置される。

Description

技術分野
本発明は、半導体ウェハ等の被処理体に、所定の表面処理を施す処理装置及び処理方法に関する。
背景技術
現在、半導体集積回路の微細化、高集積化が進行した結果、基板等の基板表面に形成される配線溝等のパターンの微細化が進行している。これにより、配線金属の下地膜として薄膜を形成する場合など、微細な配線溝内に極めて薄い膜を均一に、良好なカバレッジで形成することが求められる。このため、近年、微細な溝内にも、良好な膜質で、原子層レベルの膜を形成可能な方法として、原子層堆積法(Atomic Layer Deposition:ALD)と呼ばれる方法が開発されている。
ALDは、例えば、以下のような工程から構成される。以下に示す例では、配線パターン(配線溝)が形成された基板の表面に、四塩化チタンガスおよびアンモニアガスを用いて、窒化チタンからなる下地膜を形成する場合について説明する。
まず、チャンバ内に基板を収容し、チャンバ内を所定の真空度まで減圧する。続いて、チャンバ内に四塩化チタンガスを所定時間導入する。これにより、基板の表面に四塩化チタン分子が多層に吸着する。その後、チャンバ内を不活性ガスでパージし、これにより、基板表面に吸着したほぼ1層分の四塩化チタン分子を除いて、チャンバ内から四塩化チタンを除去する。
パージ後、チャンバ内にアンモニアガスを所定時間導入する。これにより、基板の表面に吸着した四塩化チタン分子とアンモニア分子とが反応して、基板の表面にほぼ1原子層分の窒化チタン層が形成される。このとき、形成された窒化チタン層の上には、アンモニア分子が多層に吸着している。その後、チャンバ内を不活性ガスでパージし、窒化チタン層上に吸着したほぼ1層分のアンモニア分子を除いて、チャンバ内からアンモニア分子を除去する。
続いて、再び、四塩化チタンガスをチャンバ内に所定時間導入する。これにより、吸着したアンモニア分子と四塩化チタンとが反応して新たな窒化チタン層が形成される。すなわち、この状態ではほぼ2原子層の窒化チタン層が形成されていることになる。
また、このとき、窒化チタン層上には四塩化チタン分子が多層に吸着している。その後、チャンバ内を不活性ガスでパージすることにより、窒化チタン層上にほぼ1層分の四塩化チタンが吸着した状態となる。その後、上記のように、アンモニアガスの導入、パージ、四塩化チタンガスの導入、パージ、…、というように、チャンバ内の雰囲気を切り替え、所定原子層分、すなわち、所定厚さの窒化チタン層を形成する。例えば、チャンバ内のガス雰囲気を数百〜数千回切り替えることにより、数nm〜数十nmの窒化チタン膜を形成することができる。従って、このALDを用いて高いスループットを得るには、ガス雰囲気の切り換えを高速に行う必要がある。
ところで、上記ALD処理は、図8に示すような処理装置を用いて行われる。図に示す処理装置101は、円筒状のチャンバ102と、半導体ウェハWが載置され、シャフト103によりチャンバ102の略中央に固定された円盤状のサセプタ104と、チャンバ102の天井部に設けられたガス供給口105と、チャンバ102の底部に設けられた排気口106と、を備える。
上記構成のチャンバ102内にガスが流れる際、チャンバ102内のガス供給口105およびサセプタ104の近傍R1、R2に、ガスが滞留する部位、所謂淀みが発生しやすい。これは、サセプタ104の下方および排気口106の近傍R3、R4においても同様である。淀みが発生した領域内では、ガスの流れが不均一となる。このため、チャンバ102内のガス雰囲気を切り換える際、淀みが発生した領域は、他の領域よりもガスが切り換えられ難い。従って、淀み発生領域が広いほど、チャンバ102内の雰囲気の切り換え速度は低下し、スループットが低下する。
このように、従来のALDに用いる処理装置は、淀みの発生により、ガス雰囲気の切り換え速度が低下し、十分に高い生産性が得られないおそれがあった。
発明の開示
上記実状に鑑みて、本発明は、高速なガス雰囲気の切り換えが可能な、生産性の高い処理装置及び処理方法を提供することを目的とする。
上記目的を達成するため、本発明の第1の観点に係る処理装置は、
チャンバと、
前記チャンバ内に設けられ被処理体を載置する載置台と、
前記チャンバの一面に設けられ前記チャンバ内に所定のガスを供給するためのガス供給口と、
を備え、
前記載置台は、前記チャンバの一面と略平行に配置され、
前記供給口から前記被処理体に向かう前記ガスの流れに沿った前記チャンバの略垂直断面において、前記チャンバの一面に隣接する前記チャンバの側壁は、前記チャンバの一面と90度より大きい角度をなすように構成される、
ことを特徴とする。
上記構成によれば、ガス供給口付近におけるガスの滞留が抑制され、短時間でのガス雰囲気の十分な切り換えが可能となる。これにより、高速なガス雰囲気の切り換えが可能となり、生産性の高い処理が行える。
前記ガス供給口は、前記被処理体と略同一の面積を有するように形成されていることが望ましい。
また、前記供給口から前記被処理体に向かう前記ガスの流れに沿った前記載置台の略垂直断面において、前記被処理体を載置する載置面は、当該載置面と隣接する前記載置台の側面と90度より大きい角度をなすように構成されることが望ましい。
さらに、前記供給口から前記被処理体に向かう前記ガスの流れに沿った前記チャンバ及び前記載置台の略垂直断面において、前記チャンバの側壁は、前記載置台の前記側面と略平行に構成されていることが望ましい。
また、前記供給口から前記被処理体に向かう前記ガスの流れに沿った前記チャンバ及び前記載置台の略垂直断面において、前記チャンバの側壁と前記載置台の前記側面との距離は、前記チャンバの一面と前記被処理体との距離よりも小さくなるように構成されていることがさらに望ましい。
上記目的を達成するため、本発明の第2の観点に係る処理装置は、
チャンバと、
前記チャンバ内に設けられ被処理体を載置する載置台と、
前記チャンバの一面に設けられ前記チャンバ内に所定のガスを供給するためのガス供給口と、
を備え、
前記載置台は、前記供給口から供給される前記ガスの流れ方向と略平行に配置され、
前記チャンバの略垂直断面及び/又は略水平断面において、前記チャンバの一面に隣接する前記チャンバの側壁は、前記チャンバの一面と90度より大きい角度をなすように構成される、
ことを特徴とする。
上記目的を達成するため、本発明の第3の観点に係る処理装置は、
チャンバと、
前記チャンバ内に設けられ被処理体を載置する載置台と、
前記チャンバの一面に設けられ前記チャンバ内に所定のガスを供給するためのガス供給口と、
前記チャンバ内を排気するためのガス排気口と、
を備え、
前記チャンバは、前記ガス供給口から供給された前記ガスが前記被処理体近傍に到達するまでの流路断面が漸増し、前記ガスが前記被処理体近傍を通過してから前記ガス排気口に至るまでの流路断面が漸減するように構成される、
ことを特徴とする。
この構成によれば、ガス供給口近傍に加えてガス排気口近傍におけるガスの滞留が抑制されるので、一層短時間でのガス雰囲気の切り換えが可能となる。
上記目的を達成するため、本発明の第4の観点に係る処理方法は、
チャンバ内に複数種のガスをガス供給口から交互に供給して、前記チャンバ内の雰囲気を切り換えながら、前記チャンバ内に配置された基板を処理する方法であって、
前記ガス供給口から所定のガスを前記チャンバ内に供給するガス供給ステップと、
前記ガス供給ステップにて供給されたガスの流れ方向に沿って、前記ガスが前記基板近傍を通過する時の速度に対して、前記ガスが前記基板近傍を通過した後の速度を漸増させる速度変換ステップと、を備える、
ことを特徴とする。
この方法によれば、ガスの滞留部が発生し易い下流側のチャンバ壁面近傍におけるガス速度が増加するので、ガスの滞留部の発生を効果的に抑制することができる。そのため、高速なガス雰囲気の切り換えが可能となり、生産性の高い処理を行うことができる。
前記速度変換ステップにて、前記ガスは、前記基板近傍を通過した後では前記基板近傍を通過する時の流路断面よりも小さい流路断面を有するように、前記チャンバ内を流されることが望ましい。
発明を実施するための最良の形態
以下、本実施の形態にかかる処理装置について、図面を参照して説明する。本実施の形態では、四塩化チタン(TiCl)ガスとアンモニア(NH)ガスとをアルゴン(Ar)ガスによるパージを挟んでチャンバ内に交互に供給して、半導体ウェハ(以下、ウェハW)の表面に窒化チタン(TiN)膜を、いわゆる原子層成膜法(Atomic Layer Deposition:ALD)を用いて成膜する処理装置を例として説明する。
図1に、本実施の形態にかかる処理装置11の側部断面を示す。図1に示すように、処理装置11は、略6角形の断面を有する、中空円筒状のチャンバ12を備える。チャンバ12は、ステンレススチール、アルミニウム等から構成される。
ガス供給口19には、ガス供給部28が設けられている。ガス供給部28は、TiClガス源21と、NH源22と、Ar源23と、にそれぞれ、マスフローコントローラ24およびバルブ25を介して接続されている。
図1に示すように、チャンバ12は、底面12aと、底面12aと互いに略水平に対向する底面12aよりも小径の天井面12bと、底面12aから略垂直に起立する第1の側壁12cと、第1の側壁12cと天井面12bとを接続し天井面12bと90度より大きい角度をなす第2の側壁12dと、を備える。
チャンバ12の底面12aには、排気口13が設けられている。排気口13は、APC(Auto Pressure Controller)等の圧力調整装置14を介して排気装置15に接続されている。排気装置15は、TMP(Turbo Molecular Pump)等から構成され、チャンバ12内を排気、減圧する。
チャンバ12内の略中央には、円盤状のサセプタ16が設けられている。サセプタ16は、チャンバ12の底面12aに固定されたシャフト17によって支持されている。サセプタ16の上面には、被処理体であるウェハWが載置される。サセプタ16の上面は、ウェハWよりも大径とされている。サセプタ16には、抵抗発熱体等から構成されるヒータ18が埋設され、サセプタ16上のウェハWを加熱可能となっている。
サセプタ16は、図1に示すように、主面に平行な方向(紙面に垂直な方向)から見て台形状の断面を有する。サセプタ16の下面は上面よりも大径に設定され、従って、サセプタ16の周縁部(側面)は、ウェハWの載置面と90度より大きい角度をなすように形成されている。ここで、サセプタ16は、ウェハWを第1の側壁12cの高さ、すなわち、第2の側壁12dと第1の側壁12cとの接続部分の高さとほぼ同じに保持するように設けられている。例えば、サセプタ16は、その下面が、チャンバ12の第1の側壁12cの高さとほぼ同一となるように形成される。また、テーパ形状を有するサセプタ16の側面は、第2の側壁12dと略平行になるように形成されている。
チャンバ12の天井面12bには、サセプタ16を介して排気口13と対向するように、ガス供給口19が設けられている。ガス供給口19は、ウェハWとほぼ同一の面積を有するように配設されている。
ガス供給口19には、シャワーヘッド20が嵌装されている。シャワーヘッド20は、TiClガス源21、NHガス源22およびArガス源23に、それぞれ、MFC(Mass Flow Controller)等の流量制御装置24およびバルブ25を介して接続されたガス供給管26を備える。ガス供給管26は、シャワーヘッド20の内部に設けられた中空の拡散部27に接続されている。
シャワーヘッド20は、チャンバ12内部への露出面には、拡散部27と連通する多数のガス供給穴28が形成されている。各種ガス源21〜23からシャワーヘッド20に供給されたガスは、拡散部27において拡散されてガス供給穴28から噴出される。ここで、拡散部27により、ガス供給穴28からはほぼ均等にガスが供給される。
ガス供給穴28は、シャワーヘッド20の露出面のほぼ全体にわたって設けられている。シャワーヘッド20の露出面はウェハWよりも大径に構成され、これにより、ウェハWの表面全体にガスが供給される。
天井面12bはガス供給口19とほぼ重なるように設けられていることから、ガスは天井面12bのほぼ全体から供給される。このとき、上記のように、チャンバ12の第2の側壁12dは、隣接する天井面12bと90度より大きい角度をなすように形成されている。
ここで、ガスの供給の際、チャンバ12のような形状を有さない構造では、図8に示すように、ガス供給口の近傍R1に淀みが発生しやすい。しかし、図1に示す構造のチャンバ12では、ガス供給口19近傍の淀みの発生しやすい領域が物理的に排除されているため、淀みの発生は低減される。
また、サセプタ16は略台形の断面形状を有するように形成されていることから、サセプタ16の側面近傍における淀みが発生しやすい領域(図8のR2)が物理的に排除されている。これにより、淀みの発生は低減される。
さらに、図1に示すように、サセプタ16の側面とチャンバ12の側壁12dとの距離Lは、シャワーヘッド20とウェハWとの距離Lよりも小さい。すなわち、シャワーヘッド20から供給されたガスは、ガスがウェハW上を通過する時に比べて、ウェハWを通過した後の流路断面が小さくなるように流される。このため、ガスは、流速が増加された状態で、側壁12d及び12cに沿って流れるので、チャンバ12下部の淀み(図8のR3)の発生を効果的に抑制することができる。
制御装置100は、上記構成を有する処理装置11の各構成部の動作を制御する。また、制御装置100は、所定の処理を実行するための処理シーケンスを記憶し、この処理シーケンスに基づいて、後述する処理を実行する。なお、制御装置100の構成及び詳細な動作については、ここでは説明を省略する。
次に、上記のように構成された処理装置11を用いて、ウェハW表面にTiN膜を成膜する方法について、図2を参照して説明する。図2は、本実施の形態におけるTiN膜の形成方法を示すフローチャートである。なお、図2に示すフローチャートは、処理の一例であり、同様の結果物が得られれば、このフローチャートに示された手順に限定されない。
まず、例えば図示しない搬送アームを動作させてチャンバ12内にウェハWを搬入し、載置台24上に載置する(ステップS11)。続いて、サセプタ16内部のヒータ18を制御して、ウェハWを、所定の温度、例えば、450℃に加熱する。また、同時に、チャンバ12内に、Arガスを供給する(ステップS12)。ここで、Arガスは、例えば、200sccmの流量に制御されて供給される。このとき、チャンバ12内の圧力は、例えば、400Pa(3Torr)に保持されている。なお、Arガスは、以下に述べる処理工程中、常にチャンバ12内に流されている。
続いて、チャンバ12内に所定時間、例えば、0.5秒間TiClガスを供給する(ステップS13)。ここで、TiClガスは、例えば、30sccmの流量に制御されて供給される。このとき、ウェハWの表面にTiCl分子が吸着する。
所定時間後、TiClガスの供給は停止される。この状態で、Arガスは依然として流れており、チャンバ12内は、Arガスによりパージされる(ステップS14)。このとき、ウェハWの表面に吸着した、ほぼ1原子層分のTiCl分子を除いて、TiClガス(分子)は、チャンバ12内から排気され、除去される。
次いで、所定時間、例えば、0.5秒間パージを行った後、チャンバ12内に所定時間、例えば、0.5秒間NHガスを供給する(ステップS15)。ここで、NHガスは、例えば、50sccmに制御されて供給される。
このとき、NH分子は、ウェハWの表面に吸着したTiCl分子と反応し、ほぼ1原子層分のTiN層が形成される。さらに、形成されたTiN層の上には、NH分子が吸着する。
所定時間後、NHガスは停止される。この状態で、Arガスは依然として流れており、チャンバ12内は、Arガスによりパージされる(ステップS16)。このとき、TiN層上に吸着したほぼ1層分のNH分子を除いて、チャンバ12内のNH分子は排気され、除去される。
所定時間、例えば、0.5秒間パージを行った後、ステップS13に戻り、チャンバ12内にTiClガスを供給する。このとき、TiCl分子は、TiN層上のNH分子と反応し、ほぼ1原子層分のTiN層が新たに形成される。また、このTiN層上に、TiCl分子が吸着する。
TiClガスの供給後、Arガスによるパージを行う(ステップS14)。これにより、TiN層上に吸着したほぼ1原子層分のTiCl分子を除いて、TiCl分子はチャンバ12内から排気され、除去される。
次に、チャンバ12内にNHガスを供給する(ステップS15)。これにより、NH分子とTiN層上に吸着したTiCl分子とが反応して、新たなTiN層が形成される。また、このTiN層上にはNH分子が吸着する。
NHガスの供給後、Arガスによるパージを行う(ステップS16)。これにより、TiN層上に吸着されたほぼ1原子層分のNH分子を除いて、NH分子は、チャンバ12外に排気され、除去される。
以降、上記のように、ステップS13〜ステップS16の工程を繰り返し、TiN層をほぼ1原子層ずつ積層する。上記工程を所定回数繰り返すことにより、所定厚さのTiN膜が形成される。ここで、制御装置100は、所定厚さのTiN層を形成するために必要な繰り返し回数を記憶している。
ステップS17にて、制御装置100は、ステップS13〜ステップS16の工程を、上記必要な回数だけ繰り返したか否かを判別する。所定回数に達していないと判別した場合には(ステップS17:NO)、ステップS13に戻り、上記工程を繰り返す。所定回数に達していると判別した場合には(ステップS17:YES)、Arガスの供給を停止する(ステップS18)。続いて、例えば搬送アームによりウェハWをチャンバ12の外部に搬出する(ステップS19)。以上で、成膜処理は終了する。
上述したALD処理では、チャンバ12内のガス雰囲気の切り換えが多数回行われる。ここで、本実施の形態のチャンバ12は、上述したように、ガス供給口19近傍、サセプタ16近傍及びチャンバ12下部における淀みの発生が抑制された構造を有する。淀みの発生は、全体としてのガスのチャンバ12内滞留時間を増加させ、また、淀み内部のガスは切り換えられ難いので、ガス雰囲気の切り換え速度を低下させる。このことから、本実施の形態のチャンバ12では、チャンバ12内の雰囲気の切り換えが容易となるなど、ガスの切り換えが高速に行われる。
また、淀みの発生領域を排除していることから、チャンバ12内の容積は実質的に低減されている。これにより、一層高速なチャンバ12内の雰囲気の切り換えが可能となる。
(実施例)
図1に示す本実施の形態の処理装置11におけるガスの圧力分布をシミュレーションした結果を図3Aに示す。また、図3Bに、通常のチャンバ12を用いた場合(比較例)の結果を示す。シミュレーションの条件を以下に示す。
(本実施の形態)
ウェハWの径:200mm
ガス供給の最大径:200mm
シャワーヘッド20からウェハWまでの距離L:15mm
サセプタ16側面からチャンバの内壁12dまでの距離L:10.6mm
サセプタ16側面からチャンバの内壁12cまでの距離:15mm
サセプタ16の下面位置におけるチャンバ12の内径:250mm
(比較例)
ウェハWの径:200mm
ガス供給の最大径:200mm
シャワーヘッド20からウェハWまでの距離:15mm
チャンバ12の内径:300mm
(ガス供給)
Arガス1000sccmを流した状態で、全体が399Pa(3Torr)、TiCl:Ar=3:5となるようにTiClガスを導入する。
シミュレーションは、サセプタ16の下面位置より上の、チャンバ12上部の領域について行った。上記条件に基づいて、ガス導入後0.3秒後のチャンバ内の圧力分布を算出した。結果は、TiClの分圧が、6.65×10−2Pa(5×10−4Torr)より大きい領域を、点を付した領域として示す。
淀みの発生領域を排除しない、通常のチャンバ12では、図3Bに示すように、ガス供給口19の近傍から、サセプタ16の端部を覆うように、TiClの分圧が、6.65×10−2Paより大きい領域が形成されている。一方、図3Aに示す、本実施の形態のチャンバ12では、このような領域は形成されず、チャンバ12の上部領域は、均一な圧力分布が形成されていることが理解される。
図3Aおよび図3Bに示す結果から、本実施の形態のチャンバ12では、圧力が相対的に高い領域の発生によるコンダクタンス(全体としてのガスの流れ易さを表す)の低下は抑制されることが理解される。従って、本実施の形態のチャンバ12において、コンダクタンスの低下に起因する淀みの発生は低減される。
以上説明したように、本実施の形態の処理装置11では、ガス供給口19の近傍およびサセプタ16の近傍の淀みの発生しやすい領域が物理的に排除されている。このため、ガス供給時の淀みの発生によるチャンバ12内のガス雰囲気の切り換え速度の低下等は低減される。さらに、チャンバ12の容積は実質的に低く抑えられる。以上のことから、チャンバ12内の雰囲気の高速な切り換えが可能となり、生産性の高い処理が可能となる。
本発明は、上記実施の形態に限定されず、種々の変形及び応用等が可能である。以下、本発明に適用可能な上記実施の形態の変形態様について、説明する。
上記実施の形態では、チャンバ12内にシャワーヘッド20を介してガスを供給するものとした。しかし、シャワーヘッド20の代わりに、ノズル構造を用いてもよい。
上記実施の形態では、チャンバ12の上部の淀みが発生しやすい領域を排除するように構成した。しかし、これに限らず、チャンバ12の内部全体に同様に、淀みの発生しやすい領域を排除するようにすることができる。例えば、図4に示すように、チャンバ12を略8角形状の断面を有するように構成してもよい。さらに、排気側の側壁12aaは、チャンバ12の下部を排気口13を備える底面12aと90度より大きい角度をなすように構成されている。すなわち、排気口13近傍の淀みが発生しやすい領域を物理的に排除している。
また、図4に示す構成では、サセプタ16の下面側を、排気口13に向かって突出するようにテーパ状に形成している。これにより、サセプタ16の下方の淀みが発生しやすい領域を物理的に排除している。このような構成により、淀みの発生をより抑制することができ、高い生産性が得られる。
また、上記実施の形態では、被処理体であるウェハWの主面に略垂直な方向からガスを供給する構成とした。しかし、ウェハWの主面に略水平の方向からガスを供給する構成としてもよい。この場合、図5に示すような、主面に垂直な方向から見て8角形状の断面を有する構成や、図6に示すような、主面に水平な方向から見て8角形状の断面を有する構成としてもよい。または、これらを組み合わせた構成としてもよい。
図5及び図6に示すように、チャンバの略垂直断面及び/又は略水平断面において、ガス供給口19が配設されたチャンバの一面12bに隣接する側壁12dは、当該チャンバの一面12bと90度より大きい角度をなすように構成されている。一方、ガスの排気側においても、側壁12aaは、ガス排気口13が配設されたチャンバの一面12aと90度より大きい角度をなすように構成されている。すなわち、ガスの供給側及びガスの排気側付近の淀みが発生しやすい領域が物理的に排除されている。さらに、ガス供給口19から供給されたガスは、ガスがウェハW上を通過する時に比べて、ウェハWを通過した後の流路断面が小さくなるように流される。このため、ガスは、流速が増加された状態で、側壁12aaに沿って流れるので、排気側、特にチャンバのコーナー部近傍の淀みの発生を効果的に抑制することができる。従って、ガス雰囲気の切り換え速度が向上し、高い生産性を得ることができる。
また、上記実施の形態では、チャンバ12の壁面を淀みの発生しやすい領域を排除する形状に構成するものとした。しかし、チャンバ12内のガス供給空間が実質的に同等に構成されていればよく、例えば、図7に示すように、チャンバ12の内部に、空間を埋める部材30を取り付ける構成としてもよい。このとき、部材30が、第2の側壁12dと同等の機能を果たす。なお、この場合においても、サセプタ16の側面とチャンバ12の部材30との距離Lは、シャワーヘッド20とウェハWとの距離Lよりも小さい。すなわち、シャワーヘッド20から供給されたガスは、ガスがウェハW上を通過する時に比べて、ウェハWを通過した後の流路断面が小さくなるように流される。
また、上記実施の形態では、チャンバ12は、略6角形状の断面を有するものとした。しかし、チャンバ12の淀み形成領域を排除するとともに、所望のコンダクタンスが得られる構造であれば、6角形以上の多角形、弧状、または、流線型など、どのような形状であってもよい。
上記実施の形態では、サセプタ16に埋設したヒータ18によりウェハWを加熱するものとした。しかし、これに限らず、例えば、赤外線ランプ等により加熱する構成としてもよい。
上記実施の形態では、TiClガスとNHガスとの供給の間に、Arガスを流して処理領域内の雰囲気を置換するものとした。しかし、Arガスの供給を停止して、真空状態に排気することにより、雰囲気の置換を行うようにしてもよい。
上記実施の形態では、TiClとNHとを用いて、ウェハWの表面にTiN膜を1原子層づつ形成するものとした。しかし、ウェハWの表面に形成されるTiN膜は、原子層レベルの厚さを有する層からなる積層膜であればよく、1層の厚さは、1原子層に限定されない。
上記実施の形態では、TiClとNHとを用いて、ウェハWの表面にTiN膜を形成するものとした。しかし、膜形成のために用いる物質、および、成膜する膜の種類は、これに限られない。TiN膜の他に、Al、ZrO、TaN、SiO、SiN、SiON、WN、WSi、RuO等、他の金属膜であってもよい。また、この場合、使用するガス種は、TiClの代わりに、TaBr、Ta(OC、SiCl、SiH、Si、SiHCl、WF等のいずれか1種を用い、NHの代わりに、N、O、O、NO、NO、N、N等のいずれか1種を用いることができる。
また、パージガスは、不活性なガスであればよく、Arに限らず、窒素、ネオン等を用いてもよい。
本発明の処理装置11は、アニール等の他の処理を行う処理装置と、インラインで接続され、または、クラスタリングされてもよい。
本発明の精神及び範囲を逸脱することなく、当業者により上記の実施形態に種々の改良等が加えられるであろう。上記の実施形態は、図解目的であり、本発明の範囲を限定するものではない。従って、本発明の範囲は、上記記載を参照するのではなく、下記のクレームが権利を与えられる均等の全範囲に沿って決定されるべきである。
本出願は、日本国特願2002−169322(2002年6月10日受理)を基礎とするものであり、その明細書、請求の範囲、図面及び要約書の内容を含む。この出願の全ての内容は、ここで、援用される。
産業上の利用の可能性
本発明は、成膜処理に限らず、エッチング処理等、複数種のガスを用い、プロセス雰囲気を高速に切り替える必要のあるすべての処理に適用することができる。
また、本発明は、半導体ウェハに限らず、液晶表示装置用の基板にも適用することができる。
以上説明したように、本発明によれば、高速なガス雰囲気の切り換えが可能な、生産性の高い処理装置及び処理方法が提供される。
【図面の簡単な説明】
図1は、本発明の実施の形態にかかる処理装置の側断面図である。
図2は、本発明の実施の形態にかかる処理装置を用いた成膜処理のフローチャートである。
図3Aは、図1に示す処理装置を用いた場合における圧力分布のシミュレーション結果を模式的に示す図である。
図3Bは、従来の処理装置を用いた場合における圧力分布のシミュレーション結果を模式的に示す図である。
図4は、本発明の他の実施の形態にかかる処理装置の側断面図である。
図5は、本発明の別の実施の形態にかかる処理装置の横断面図である。
図6は、本発明のさらに別の実施の形態にかかる処理装置の側断面図である。
図7は、本発明の実施の形態の変形態様を示す処理装置の側断面図である。
図8は、従来の処理装置における淀み発生領域を模式的に示す図である。

Claims (9)

  1. チャンバ(12)と、
    前記チャンバ(12)内に設けられ被処理体を載置する載置台(16)と、
    前記チャンバ(12)の一面(12b)に設けられ前記チャンバ(12)内に所定のガスを供給するためのガス供給口(19)と、
    を備え、
    前記載置台(16)は、前記チャンバの一面(12b)と略平行に配置され、
    前記供給口(19)から前記被処理体に向かう前記ガスの流れに沿った前記チャンバ(12)の略垂直断面において、前記チャンバの一面(12b)に隣接する前記チャンバ(12)の側壁(12d)は、前記チャンバの一面(12b)と90度より大きい角度をなすように構成される、
    ことを特徴とする処理装置。
  2. 前記ガス供給口(19)は、前記被処理体と略同一の面積を有するように形成されている、ことを特徴とする請求項1に記載の処理装置。
  3. 前記供給口(19)から前記被処理体に向かう前記ガスの流れに沿った前記載置台(16)の略垂直断面において、前記被処理体を載置する載置面は、当該載置面と隣接する前記載置台(16)の側面と90度より大きい角度をなすように構成される、
    ことを特徴とする請求項1に記載の処理装置。
  4. 前記供給口(19)から前記被処理体に向かう前記ガスの流れに沿った前記チャンバ(12)及び前記載置台(16)の略垂直断面において、前記チャンバの側壁(12d)は、前記載置台(16)の前記側面と略平行に構成されている、ことを特徴とする請求項3に記載の処理装置。
  5. 前記供給口(19)から前記被処理体に向かう前記ガスの流れに沿った前記チャンバ(12)及び前記載置台(16)の略垂直断面において、前記チャンバの側壁(12d)と前記載置台(16)の前記側面との距離は、前記チャンバの一面(12b)と前記被処理体との距離よりも小さくなるように構成されている、ことを特徴とする請求項4に記載の処理装置。
  6. チャンバ(12)と、
    前記チャンバ(12)内に設けられ被処理体を載置する載置台(16)と、
    前記チャンバ(12)の一面(12b)に設けられ前記チャンバ(12)内に所定のガスを供給するためのガス供給口(19)と、
    を備え、
    前記載置台(16)は、前記供給口(19)から供給される前記ガスの流れ方向と略平行に配置され、
    前記チャンバ(12)の略垂直断面及び/又は略水平断面において、前記チャンバの一面(12b)に隣接する前記チャンバ(12)の側壁(12d)は、前記チャンバの一面(12b)と90度より大きい角度をなすように構成される、
    ことを特徴とする処理装置。
  7. チャンバ(12)と、
    前記チャンバ(12)内に設けられ被処理体を載置する載置台(16)と、
    前記チャンバ(12)の一面(12b)に設けられ前記チャンバ(12)内に所定のガスを供給するためのガス供給口(19)と、
    前記チャンバ(12)内を排気するためのガス排気口(13)と、
    を備え、
    前記チャンバ(12)は、前記ガス供給口(19)から供給された前記ガスが前記被処理体近傍に到達するまでの流路断面が漸増し、前記ガスが前記被処理体近傍を通過してから前記ガス排気口(13)に至るまでの流路断面が漸減するように構成される、
    ことを特徴とする処理装置。
  8. チャンバ内に複数種のガスをガス供給口から交互に供給して、前記チャンバ内の雰囲気を切り換えながら、前記チャンバ内に配置された基板を処理する方法であって、
    前記ガス供給口から所定のガスを前記チャンバ内に供給するガス供給ステップと、
    前記ガス供給ステップにて供給されたガスの流れ方向に沿って、前記ガスが前記基板近傍を通過する時の速度に対して、前記ガスが前記基板近傍を通過した後の速度を漸増させる速度変換ステップと、
    を備えることを特徴とする処理方法。
  9. 前記速度変換ステップにて、前記ガスは、前記基板近傍を通過した後では前記基板近傍を通過する時の流路断面よりも小さい流路断面を有するように、前記チャンバ内を流される、
    ことを特徴とする請求項8に記載の処理方法。
JP2004511579A 2002-06-10 2003-06-09 処理装置 Expired - Fee Related JP4354908B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002169322 2002-06-10
JP2002169322 2002-06-10
PCT/JP2003/007294 WO2003104524A1 (ja) 2002-06-10 2003-06-09 処理装置及び処理方法

Publications (2)

Publication Number Publication Date
JPWO2003104524A1 true JPWO2003104524A1 (ja) 2005-10-06
JP4354908B2 JP4354908B2 (ja) 2009-10-28

Family

ID=29727725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004511579A Expired - Fee Related JP4354908B2 (ja) 2002-06-10 2003-06-09 処理装置

Country Status (4)

Country Link
US (1) US20050211167A1 (ja)
JP (1) JP4354908B2 (ja)
AU (1) AU2003242104A1 (ja)
WO (1) WO2003104524A1 (ja)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
FI121750B (fi) * 2005-11-17 2011-03-31 Beneq Oy ALD-reaktori
JP5034594B2 (ja) 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011084137A1 (de) * 2011-10-07 2013-04-11 Wacker Chemie Ag Vorrichtung und Verfahren zur Abscheidung von polykristallinemSilicium
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP6455481B2 (ja) * 2016-04-25 2019-01-23 トヨタ自動車株式会社 成膜方法及び成膜装置
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62151567A (ja) * 1985-12-25 1987-07-06 Sharp Corp 光導電体の成膜装置
JPS6446917A (en) * 1987-08-18 1989-02-21 Toshiba Corp Chemical vapor growth device
JP2717971B2 (ja) * 1988-08-18 1998-02-25 富士通株式会社 気相成長装置
JPH04372119A (ja) * 1991-06-20 1992-12-25 Furukawa Electric Co Ltd:The 化合物半導体気相成長法
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JPH09241850A (ja) * 1996-03-04 1997-09-16 Kokusai Electric Co Ltd Cvd装置
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JPH11135484A (ja) * 1997-10-31 1999-05-21 Hitachi Ltd 基板処理装置
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
JP4286981B2 (ja) * 1999-07-22 2009-07-01 東京エレクトロン株式会社 枚葉式熱処理装置
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation

Also Published As

Publication number Publication date
US20050211167A1 (en) 2005-09-29
JP4354908B2 (ja) 2009-10-28
WO2003104524A1 (ja) 2003-12-18
AU2003242104A1 (en) 2003-12-22

Similar Documents

Publication Publication Date Title
JP4354908B2 (ja) 処理装置
US20210180188A1 (en) Substrate support plate, substrate processing apparatus including the same, and substrate processing method
US20230040728A1 (en) Substrate processing method and apparatus
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
JP4800344B2 (ja) 薄膜の形成方法
US10131984B2 (en) Substrate processing apparatus
KR100447284B1 (ko) 화학기상증착 챔버의 세정 방법
US9508546B2 (en) Method of manufacturing semiconductor device
JP5950892B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20110059600A1 (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
TW201723211A (zh) 高溫熱原子層沉積氮化矽膜
JP2010062230A (ja) 半導体装置の製造方法及び基板処理装置
US20120108077A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
US20240003010A1 (en) Backside deposition and local stress modulation for wafer bow compensation
US20210404064A1 (en) Showerhead for Process Tool
JP4192148B2 (ja) 原子層堆積法処理装置
KR20220058636A (ko) 성막 방법
CN113227450A (zh) 半导体器件的制造方法、衬底处理装置及程序
JPH10321556A (ja) 成膜方法
JP7204889B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20230029414A (ko) Ale용 배치-타입 장치, 및 그 장치에 기반한 ale 방법과 반도체 소자 제조방법
KR20120021514A (ko) 처리 장치의 클리닝 방법
JP2005142355A (ja) 基板処理装置及び半導体装置の製造方法
WO2024034172A1 (ja) 基板処理装置、基板支持具、基板処理方法、半導体装置の製造方法及びプログラム
WO2003092060A1 (fr) Dispositif de traitement utilisant une structure tete de pulverisation et procede de traitement

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090728

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090730

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120807

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120807

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150807

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees